Transistor Gate Structures and Methods of Forming the Same

In an embodiment, a device includes: a channel region; a gate dielectric layer on the channel region; a first work function tuning layer on the gate dielectric layer, the first work function tuning layer including a p-type work function metal; a barrier layer on the first work function tuning layer; a second work function tuning layer on the barrier layer, the second work function tuning layer including a n-type work function metal, the n-type work function metal different from the p-type work function metal; and a fill layer on the second work function tuning layer.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
PRIORITY CLAIM AND CROSS-REFERENCE

This application is a continuation of U.S. patent application Ser. No. 17/224,555, filed on Apr. 7, 2021, entitled “Transistor Gate Structures and Methods of Forming the Same,” which claims the benefit of U.S. Provisional Application No. 63/142,544, filed on Jan. 28, 2021, which applications are hereby incorporated herein by reference.

BACKGROUND

Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.

The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area. However, as the minimum features sizes are reduced, additional problems arise that should be addressed.

BRIEF DESCRIPTION OF THE DRAWINGS

Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

FIG. 1 illustrates an example of a nanostructure field-effect transistor (nano-FET) in a three-dimensional view, in accordance with some embodiments.

FIGS. 2, 3, 4, 5, 6, 7A, 7B, 8A, 8B, 9A, 9B, 9C, 9D, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A, 21B, 22A and 22B are views of intermediate stages in the manufacturing of nano-FETs, in accordance with some embodiments.

FIGS. 23A and 23B are views of nano-FETs, in accordance with some other embodiments.

DETAILED DESCRIPTION

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.

According to various embodiments, gate electrodes are formed with multiple work function tuning layers. A barrier layer is formed on a lower work function tuning layer, and an upper work function tuning layer is deposited on the barrier layer. The barrier layer may be thin enough to not significantly modify the work function of the gate electrodes, and inhibits (e.g., substantially prevents or at least reduces) modification of the lower work function tuning layer during deposition of the upper work function tuning layer. The threshold voltages of the resulting devices may thus be more accurately tuned.

Embodiments are described in a particular context, a die including nano-FETs. Various embodiments may be applied, however, to dies including other types of transistors (e.g., fin field effect transistors (FinFETs), planar transistors, or the like) in lieu of or in combination with the nano-FETs.

FIG. 1 illustrates an example of nano-FETs (e.g., nanowire FETs, nanosheet FETs, or the like), in accordance with some embodiments. FIG. 1 is a three-dimensional view, where some features of the nano-FETs are omitted for illustration clarity. The nano-FETs may be nanosheet field-effect transistors (NSFETs), nanowire field-effect transistors (NWFETs), gate-all-around field-effect transistors (GAAFETs), or the like.

The nano-FETs include nanostructures 66 (e.g., nanosheets, nanowires, or the like) over fins 62 on a substrate 50 (e.g., a semiconductor substrate), with the nanostructures 66 acting as channel regions for the nano-FETs. The nanostructures 66 may include p-type nanostructures, n-type nanostructures, or a combination thereof. Isolation regions 70, such as shallow trench isolation (STI) regions, are disposed between adjacent fins 62, which may protrude above and from between adjacent isolation regions 70. Although the isolation regions 70 are described/illustrated as being separate from the substrate 50, as used herein, the term “substrate” may refer to the semiconductor substrate alone or a combination of the semiconductor substrate and the isolation regions. Additionally, although a bottom portion of the fins 62 are illustrated as being single, continuous materials with the substrate 50, the bottom portion of the fins 62 and/or the substrate 50 may include a single material or a plurality of materials. In this context, the fins 62 refer to the portion extending above and from between the adjacent isolation regions 70.

Gate dielectrics 122 are over top surfaces of the fins 62 and along top surfaces, sidewalls, and bottom surfaces of the nanostructures 66. Gate electrodes 124 are over the gate dielectrics 122. Epitaxial source/drain regions 98 are disposed on the fins 62 at opposing sides of the gate dielectrics 122 and the gate electrodes 124. The epitaxial source/drain regions 98 may be shared between various fins 62. For example, adjacent epitaxial source/drain regions 98 may be electrically connected, such as through coalescing the epitaxial source/drain regions 98 by epitaxial growth, or through coupling the epitaxial source/drain regions 98 with a same source/drain contact.

FIG. 1 further illustrates reference cross-sections that are used in later figures. Cross-section A-A′ is along a longitudinal axis of a gate electrode 124 and in a direction, for example, perpendicular to a direction of current flow between the epitaxial source/drain regions 98 of a nano-FET. Cross-section B-B′ is along a longitudinal axis of a fin 62 and in a direction of, for example, a current flow between the epitaxial source/drain regions 98 of the nano-FET. Cross-section C-C′ is parallel to cross-section A-A′ and extends through epitaxial source/drain regions 98 of the nano-FETs. Subsequent figures refer to these reference cross-sections for clarity.

Some embodiments discussed herein are discussed in the context of nano-FETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects used in planar devices, such as planar FETs, or in fin field-effect transistors (FinFETs). For example, FinFETs may include fins on a substrate, with the fins acting as channel regions for the FinFETs. Similarly, planar FETs may include a substrate, with portions of the substrate acting as channel regions for the planar FETs.

FIGS. 2-22B are views of intermediate stages in the manufacturing of nano-FETs, in accordance with some embodiments. FIGS. 2, 3, 4, 5, and 6 are three-dimensional views showing a similar three-dimensional view as FIG. 1. FIGS. 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 21A, and 22A illustrate reference cross-section A-A′ illustrated in FIG. 1. FIGS. 7B, 8B, 9B, 10B, 11B, 12B, 13B, 20B, 21B, and 22B illustrate reference cross-section B-B′ illustrated in FIG. 1. FIGS. 9C and 9D illustrate reference cross-section C-C′ illustrated in FIG. 1.

In FIG. 2, a substrate 50 is provided for forming nano-FETs. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type or a n-type impurity) or undoped. The substrate 50 may be a wafer, such as a silicon wafer. Generally, a SOI substrate is a layer of a semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide, and/or gallium indium arsenide phosphide; combinations thereof; or the like.

The substrate 50 has a n-type region 50N and a p-type region 50P. The n-type region 50N can be for forming n-type devices, such as NMOS transistors, e.g., n-type nano-FETs, and the p-type region 50P can be for forming p-type devices, such as PMOS transistors, e.g., p-type nano-FETs. The n-type region 50N may be physically separated from the p-type region 50P (not separately illustrated), and any number of device features (e.g., other active devices, doped regions, isolation structures, etc.) may be disposed between the n-type region 50N and the p-type region 50P. Although one n-type region 50N and one p-type region 50P are illustrated, any number of n-type regions 50N and p-type regions 50P may be provided.

The substrate 50 may be lightly doped with a p-type or a n-type impurity. An anti-punch-through (APT) implantation may be performed on an upper portion of the substrate 50 to form an APT region. During the APT implantation, impurities may be implanted in the substrate 50. The impurities may have a conductivity type opposite from a conductivity type of source/drain regions that will be subsequently formed in each of the n-type region 50N and the p-type region 50P. The APT region may extend under the source/drain regions in the nano-FETs. The APT region may be used to reduce the leakage from the source/drain regions to the substrate 50. In some embodiments, the doping concentration in the APT region may be in the range of about 1018 cm−1 to about 1019 cm−1.

A multi-layer stack 52 is formed over the substrate 50. The multi-layer stack 52 includes alternating first semiconductor layers 54 and second semiconductor layers 56. The first semiconductor layers 54 are formed of a first semiconductor material, and the second semiconductor layers 56 are formed of a second semiconductor material. The semiconductor materials may each be selected from the candidate semiconductor materials of the substrate 50. In the illustrated embodiment, the multi-layer stack 52 includes three layers of each of the first semiconductor layers 54 and the second semiconductor layers 56. It should be appreciated that the multi-layer stack 52 may include any number of the first semiconductor layers 54 and the second semiconductor layers 56.

In the illustrated embodiment, and as will be subsequently described in greater detail, the first semiconductor layers 54 will be removed and the second semiconductor layers 56 will patterned to form channel regions for the nano-FETs in both the n-type region 50N and the p-type region 50P. The first semiconductor layers 54 are sacrificial layers (or dummy layers), which will be removed in subsequent processing to expose the top surfaces and the bottom surfaces of the second semiconductor layers 56. The first semiconductor material of the first semiconductor layers 54 is a material that has a high etching selectivity from the etching of the second semiconductor layers 56, such as silicon germanium. The second semiconductor material of the second semiconductor layers 56 is a material suitable for both n-type and p-type devices, such as silicon.

In another embodiment (not separately illustrated), the first semiconductor layers 54 will be patterned to form channel regions for nano-FETs in one region (e.g., the p-type region 50P), and the second semiconductor layers 56 will be patterned to form channel regions for nano-FETs in another region (e.g., the n-type region 50N). The first semiconductor material of the first semiconductor layers 54 may be a material suitable for p-type devices, such as silicon germanium (e.g., SixGe1-x, where x can be in the range of 0 to 1), pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. The second semiconductor material of the second semiconductor layers 56 may be a material suitable for n-type devices, such as silicon, silicon carbide, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. The first semiconductor material and the second semiconductor material may have a high etching selectivity from the etching of one another, so that the first semiconductor layers 54 may be removed without removing the second semiconductor layers 56 in the n-type region 50N, and the second semiconductor layers 56 may be removed without removing the first semiconductor layers 54 in the p-type region 50P.

Each of the layers of the multi-layer stack 52 may be grown by a process such as vapor phase epitaxy (VPE) or molecular beam epitaxy (MBE), deposited by a process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD), or the like. Each of the layers may have a small thickness, such as a thickness in a range of about 5 nm to about 30 nm. In some embodiments, some layers (e.g., the second semiconductor layers 56) are formed to be thinner than other layers (e.g., the first semiconductor layers 54). For example, in embodiments in which the first semiconductor layers 54 are sacrificial layers (or dummy layers) and the second semiconductor layers 56 are patterned to form channel regions for the nano-FETs in both the n-type region 50N and the p-type region 50P, the first semiconductor layers 54 can have a first thickness and the second semiconductor layers 56 can have a second thickness, with the second thickness being from about 30% to about 60% less than the first thickness. Forming the second semiconductor layers 56 to a smaller thickness allows the channel regions to be formed at a greater density.

In FIG. 3, trenches are patterned in the substrate 50 and the multi-layer stack 52 to form fins 62, first nanostructures 64, and second nanostructures 66. The fins 62 are semiconductor strips patterned in the substrate 50. The first nanostructures 64 and the second nanostructures 66 include the remaining portions of the first semiconductor layers 54 and the second semiconductor layers 56, respectively. The trenches may be patterned by any acceptable etch process, such as a reactive ion etch (RIE), neutral beam etch (NBE), the like, or a combination thereof. The etching may be anisotropic.

The fins 62 and the nanostructures 64, 66 may be patterned by any suitable method. For example, the fins 62 and the nanostructures 64, 66 may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used as masks to pattern the fins 62 and the nanostructures 64, 66. In some embodiments, the mask (or other layer) may remain on the nanostructures 64, 66.

The fins 62 and the nanostructures 64, 66 may each have widths in a range of about 8 nm to about 40 nm. In the illustrated embodiment, the fins 62 and the nanostructures 64, 66 have substantially equal widths in the n-type region 50N and the p-type region 50P. In another embodiment, the fins 62 and the nanostructures 64, 66 in one region (e.g., the n-type region 50N) are wider or narrower than the fins 62 and the nanostructures 64, 66 in another region (e.g., the p-type region 50P).

In FIG. 4, STI regions 70 are formed over the substrate 50 and between adjacent fins 62. The STI regions 70 are disposed around at least a portion of the fins 62 such that at least a portion of the nanostructures 64, 66 protrude from between adjacent STI regions 70. In the illustrated embodiment, the top surfaces of the STI regions 70 are coplanar (within process variations) with the top surfaces of the fins 62. In some embodiments, the top surfaces of the STI regions 70 are above or below the top surfaces of the fins 62. The STI regions 70 separate the features of adjacent devices.

The STI regions 70 may be formed by any suitable method. For example, an insulation material can be formed over the substrate 50 and the nanostructures 64, 66, and between adjacent fins 62. The insulation material may be an oxide, such as silicon oxide, a nitride, such as silicon nitride, the like, or a combination thereof, which may be formed by a chemical vapor deposition (CVD) process, such as high density plasma CVD (HDP-CVD), flowable CVD (FCVD), the like, or a combination thereof. Other insulation materials formed by any acceptable process may be used. In some embodiments, the insulation material is silicon oxide formed by FCVD. An anneal process may be performed once the insulation material is formed. In an embodiment, the insulation material is formed such that excess insulation material covers the nanostructures 64, 66. Although the STI regions 70 are each illustrated as a single layer, some embodiments may utilize multiple layers. For example, in some embodiments a liner (not separately illustrated) may first be formed along surfaces of the substrate 50, the fins 62, and the nanostructures 64, 66. Thereafter, a fill material, such as those previously described may be formed over the liner.

A removal process is then applied to the insulation material to remove excess insulation material over the nanostructures 64, 66. In some embodiments, a planarization process such as a chemical mechanical polish (CMP), an etch-back process, combinations thereof, or the like may be utilized. In embodiments in which a mask remains on the nanostructures 64, 66, the planarization process may expose the mask or remove the mask. After the planarization process, the top surfaces of the insulation material and the mask (if present) or the nanostructures 64, 66 are coplanar (within process variations). Accordingly, the top surfaces of the mask (if present) or the nanostructures 64, 66 are exposed through the insulation material. In the illustrated embodiment, no mask remains on the nanostructures 64, 66. The insulation material is then recessed to form the STI regions 70. The insulation material is recessed such that at least a portion of the nanostructures 64, 66 protrude from between adjacent portions of the insulation material. Further, the top surfaces of the STI regions 70 may have a flat surface as illustrated, a convex surface, a concave surface (such as dishing), or a combination thereof. The top surfaces of the STI regions 70 may be formed flat, convex, and/or concave by an appropriate etch. The insulation material may be recessed using any acceptable etching process, such as one that is selective to the material of the insulation material (e.g., selectively etches the insulation material of the STI regions 70 at a faster rate than the materials of the fins 62 and the nanostructures 64, 66). For example, an oxide removal may be performed using dilute hydrofluoric (dHF) acid.

The process previously described is just one example of how the fins 62 and the nanostructures 64, 66 may be formed. In some embodiments, the fins 62 and/or the nanostructures 64, 66 may be formed using a mask and an epitaxial growth process. For example, a dielectric layer can be formed over a top surface of the substrate 50, and trenches can be etched through the dielectric layer to expose the underlying substrate 50. Epitaxial structures can be epitaxially grown in the trenches, and the dielectric layer can be recessed such that the epitaxial structures protrude from the dielectric layer to form the fins 62 and/or the nanostructures 64, 66. The epitaxial structures may include the alternating semiconductor materials previously described, such as the first semiconductor material and the second semiconductor material. In some embodiments where epitaxial structures are epitaxially grown, the epitaxially grown materials may be in situ doped during growth, which may obviate prior and/or subsequent implantations, although in situ and implantation doping may be used together.

Further, appropriate wells (not separately illustrated) may be formed in the substrate 50, the fins 62, and/or the nanostructures 64, 66. The wells may have a conductivity type opposite from a conductivity type of source/drain regions that will be subsequently formed in each of the n-type region 50N and the p-type region 50P. In some embodiments, a p-type well is formed in the n-type region 50N, and a n-type well is formed in the p-type region 50P. In some embodiments, a p-type well or a n-type well is formed in both the n-type region 50N and the p-type region 50P.

In embodiments with different well types, different implant steps for the n-type region 50N and the p-type region 50P may be achieved using mask (not separately illustrated) such as a photoresist. For example, a photoresist may be formed over the fins 62, the nanostructures 64, 66, and the STI regions 70 in the n-type region 50N. The photoresist is patterned to expose the p-type region 50P. The photoresist can be formed by using a spin-on technique and can be patterned using acceptable photolithography techniques. Once the photoresist is patterned, a n-type impurity implant is performed in the p-type region 50P, and the photoresist may act as a mask to substantially prevent n-type impurities from being implanted into the n-type region 50N. The n-type impurities may be phosphorus, arsenic, antimony, or the like implanted in the region to a concentration in the range of about 1013 cm−3 to about 1014 cm−3. After the implant, the photoresist may be removed, such as by any acceptable ashing process.

Following or prior to the implanting of the p-type region 50P, a mask (not separately illustrated) such as a photoresist is formed over the fins 62, the nanostructures 64, 66, and the STI regions 70 in the p-type region 50P. The photoresist is patterned to expose the n-type region 50N. The photoresist can be formed by using a spin-on technique and can be patterned using acceptable photolithography techniques. Once the photoresist is patterned, a p-type impurity implant may be performed in the n-type region 50N, and the photoresist may act as a mask to substantially prevent p-type impurities from being implanted into the p-type region 50P. The p-type impurities may be boron, boron fluoride, indium, or the like implanted in the region to a concentration in the range of about 1013 cm−3 to about 1014 cm−3. After the implant, the photoresist may be removed, such as by any acceptable ashing process.

After the implants of the n-type region 50N and the p-type region 50P, an anneal may be performed to repair implant damage and to activate the p-type and/or n-type impurities that were implanted. In some embodiments where epitaxial structures are epitaxially grown for the fins 62 and/or the nanostructures 64, 66, the grown materials may be in situ doped during growth, which may obviate the implantations, although in situ and implantation doping may be used together.

In FIG. 5, a dummy dielectric layer 72 is formed on the fins 62 and the nanostructures 64, 66. The dummy dielectric layer 72 may be formed of a dielectric material such as silicon oxide, silicon nitride, a combination thereof, or the like, which may be deposited or thermally grown according to acceptable techniques. A dummy gate layer 74 is formed over the dummy dielectric layer 72, and a mask layer 76 is formed over the dummy gate layer 74. The dummy gate layer 74 may be deposited over the dummy dielectric layer 72 and then planarized, such as by a CMP. The mask layer 76 may be deposited over the dummy gate layer 74. The dummy gate layer 74 may be formed of a conductive or non-conductive material, such as amorphous silicon, polycrystalline-silicon (polysilicon), poly-crystalline silicon-germanium (poly-SiGe), a metal, a metallic nitride, a metallic silicide, a metallic oxide, or the like, which may be deposited by physical vapor deposition (PVD), CVD, or the like. The dummy gate layer 74 may be formed of material(s) that have a high etching selectivity from the etching of insulation materials, e.g., the STI regions 70 and/or the dummy dielectric layer 72. The mask layer 76 may be formed of a dielectric material such as silicon nitride, silicon oxynitride, or the like. In this example, a single dummy gate layer 74 and a single mask layer 76 are formed across the n-type region 50N and the p-type region 50P. In the illustrated embodiment, the dummy dielectric layer 72 covers the fins 62, the nanostructures 64, 66, and the STI regions 70, such that the dummy dielectric layer 72 extends over the STI regions 70 and between the dummy gate layer 74 and the STI regions 70. In another embodiment, the dummy dielectric layer 72 covers only the fins 62 and the nanostructures 64, 66.

In FIG. 6, the mask layer 76 is patterned using acceptable photolithography and etching techniques to form masks 86. The pattern of the masks 86 is then transferred to the dummy gate layer 74 by any acceptable etching technique to form dummy gates 84. The pattern of the masks 86 may optionally be further transferred to the dummy dielectric layer 72 by any acceptable etching technique to form dummy dielectrics 82. The dummy gates 84 cover portions of the nanostructures 64, 66 that will be exposed in subsequent processing to form channel regions. Specifically, the dummy gates 84 extend along the portions of the nanostructures 66 that will be patterned to form channel regions 68. The pattern of the masks 86 may be used to physically separate adjacent dummy gates 84. The dummy gates 84 may also have lengthwise directions substantially perpendicular (within process variations) to the lengthwise directions of the fins 62. The masks 86 can optionally be removed after patterning, such as by any acceptable etching technique.

FIGS. 7A-22B illustrate various additional steps in the manufacturing of embodiment devices. FIGS. 7A-13B and 20A-22B illustrate features in either of the n-type region 50N and the p-type region 50P. For example, the structures illustrated may be applicable to both the n-type region 50N and the p-type region 50P. Differences (if any) in the structures of the n-type region 50N and the p-type region 50P are described in the text accompanying each figure. FIGS. 14A, 15A, 16A, 17A, 18A, and 19A illustrate features in the p-type region 50P. FIGS. 14B, 15B, 16B, 17B, 18B, and 19B illustrate features in the n-type region 50N.

In FIGS. 7A and 7B, gate spacers 90 are formed over the nanostructures 64, 66, on exposed sidewalls of the masks 86 (if present), the dummy gates 84, and the dummy dielectrics 82. The gate spacers 90 may be formed by conformally depositing one or more dielectric material(s) and subsequently etching the dielectric material(s). Acceptable dielectric materials may include silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbonitride, or the like, which may be formed by a conformal deposition process such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PEALD), or the like. Other insulation materials formed by any acceptable process may be used. In the illustrated embodiment, the gate spacers 90 each include multiple layers, e.g., a first spacer layer 90A and a second spacer layer 90B. In some embodiments, the first spacer layers 90A and the second spacer layers 90B are formed of silicon oxycarbonitride (e.g., SiOxNyC1-x-y, where x and y are in the range of 0 to 1), with the first spacer layers 90A formed of a similar or a different composition of silicon oxycarbonitride than the second spacer layers 90B. Any acceptable etch process, such as a dry etch, a wet etch, the like, or a combination thereof, may be performed to pattern the dielectric material(s). The etching may be anisotropic. The dielectric material(s), when etched, have portions left on the sidewalls of the dummy gates 84 (thus forming the gate spacers 90). As will be subsequently described in greater detail, the dielectric material(s), when etched, may also have portions left on the sidewalls of the fins 62 and/or the nanostructures 64, 66 (thus forming fin spacers 92, see FIGS. 9C and 9D). After etching, the fin spacers 92 and/or the gate spacers 90 can have straight sidewalls (as illustrated) or can have curved sidewalls (not separately illustrated).

Further, implants may be performed to form lightly doped source/drain (LDD) regions (not separately illustrated). In the embodiments with different device types, similar to the implants for the wells previously described, a mask (not separately illustrated) such as a photoresist may be formed over the n-type region 50N, while exposing the p-type region 50P, and appropriate type (e.g., p-type) impurities may be implanted into the fins 62 and/or the nanostructures 64, 66 exposed in the p-type region 50P. The mask may then be removed. Subsequently, a mask (not separately illustrated) such as a photoresist may be formed over the p-type region 50P while exposing the n-type region 50N, and appropriate type impurities (e.g., n-type) may be implanted into the fins 62 and/or the nanostructures 64, 66 exposed in the n-type region 50N. The mask may then be removed. The n-type impurities may be any of the n-type impurities previously described, and the p-type impurities may be any of the p-type impurities previously described. During the implanting, the channel regions 68 remain covered by the dummy gates 84, so that the channel regions 68 remain substantially free of the impurity implanted to form the LDD regions. The LDD regions may have a concentration of impurities in the range of about 1015 cm−3 to about 1019 cm−3. An anneal may be used to repair implant damage and to activate the implanted impurities.

It is noted that the previous disclosure generally describes a process of forming spacers and LDD regions. Other processes and sequences may be used. For example, fewer or additional spacers may be utilized, different sequence of steps may be utilized, additional spacers may be formed and removed, and/or the like. Furthermore, the n-type devices and the p-type devices may be formed using different structures and steps.

In FIGS. 8A and 8B, source/drain recesses 94 are formed in the nanostructures 64, 66. In the illustrated embodiment, the source/drain recesses 94 extend through the nanostructures 64, 66 and into the fins 62. The source/drain recesses 94 may also extend into the substrate 50. In various embodiments, the source/drain recesses 94 may extend to a top surface of the substrate 50 without etching the substrate 50; the fins 62 may be etched such that bottom surfaces of the source/drain recesses 94 are disposed below the top surfaces of the STI regions 70; or the like. The source/drain recesses 94 may be formed by etching the nanostructures 64, 66 using an anisotropic etching processes, such as a RIE, a NBE, or the like. The gate spacers 90 and the dummy gates 84 collectively mask portions of the fins 62 and/or the nanostructures 64, 66 during the etching processes used to form the source/drain recesses 94. A single etch process may be used to etch each of the nanostructures 64, 66, or multiple etch processes may be used to etch the nanostructures 64, 66. Timed etch processes may be used to stop the etching of the source/drain recesses 94 after the source/drain recesses 94 reach a desired depth.

Optionally, inner spacers 96 are formed on the sidewalls of the remaining portions of the first nanostructures 64, e.g., those sidewalls exposed by the source/drain recesses 94. As will be subsequently described in greater detail, source/drain regions will be subsequently formed in the source/drain recesses 94, and the first nanostructures 64 will be subsequently replaced with corresponding gate structures. The inner spacers 96 act as isolation features between the subsequently formed source/drain regions and the subsequently formed gate structures. Further, the inner spacers 96 may be used to substantially prevent damage to the subsequently formed source/drain regions by subsequent etching processes, such as etching processes used to subsequently remove the first nanostructures 64.

As an example to form the inner spacers 96, the source/drain recesses 94 can be laterally expanded. Specifically, portions of the sidewalls of the first nanostructures 64 exposed by the source/drain recesses 94 may be recessed. Although sidewalls of the first nanostructures 64 are illustrated as being straight, the sidewalls may be concave or convex. The sidewalls may be recessed by any acceptable etching process, such as one that is selective to the material of the first nanostructures 64 (e.g., selectively etches the material of the first nanostructures 64 at a faster rate than the material of the second nanostructures 66). The etching may be isotropic. For example, when the second nanostructures 66 are formed of silicon and the first nanostructures 64 are formed of silicon germanium, the etching process may be a wet etch using tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH4OH), or the like. In another embodiment, the etching process may be a dry etch using a fluorine-based gas such as hydrogen fluoride (HF) gas. In some embodiments, the same etching process may be continually performed to both form the source/drain recesses 94 and recess the sidewalls of the first nanostructures 64. The inner spacers 96 can then be formed by conformally forming an insulating material and subsequently etching the insulating material. The insulating material may be silicon nitride or silicon oxynitride, although any suitable material, such as low-dielectric constant (low-k) materials having a k-value less than about 3.5, may be utilized. The insulating material may be deposited by a conformal deposition process, such as ALD, CVD, or the like. The etching of the insulating material may be anisotropic. For example, the etching process may be a dry etch such as a RIE, a NBE, or the like. Although outer sidewalls of the inner spacers 96 are illustrated as being flush with respect to the sidewalls of the gate spacers 90, the outer sidewalls of the inner spacers 96 may extend beyond or be recessed from the sidewalls of the gate spacers 90. In other words, the inner spacers 96 may partially fill, completely fill, or overfill the sidewall recesses. Moreover, although the sidewalls of the inner spacers 96 are illustrated as being straight, the sidewalls of the inner spacers 96 may be concave or convex.

In FIGS. 9A and 9B, epitaxial source/drain regions 98 are formed in the source/drain recesses 94. The epitaxial source/drain regions 98 are formed in the source/drain recesses 94 such that each dummy gate 84 (and corresponding channel regions 68) is disposed between respective adjacent pairs of the epitaxial source/drain regions 98. In some embodiments, the gate spacers 90 and the inner spacers 96 are used to separate the epitaxial source/drain regions 98 from, respectively, the dummy gates 84 and the first nanostructures 64 by an appropriate lateral distance so that the epitaxial source/drain regions 98 do not short out with subsequently formed gates of the resulting nano-FETs. A material of the epitaxial source/drain regions 98 may be selected to exert stress in the respective channel regions 68, thereby improving performance.

The epitaxial source/drain regions 98 in the n-type region 50N may be formed by masking the p-type region 50P. Then, the epitaxial source/drain regions 98 in the n-type region 50N are epitaxially grown in the source/drain recesses 94 in the n-type region 50N. The epitaxial source/drain regions 98 may include any acceptable material appropriate for n-type devices. For example, the epitaxial source/drain regions 98 in the n-type region 50N may include materials exerting a tensile strain on the channel regions 68, such as silicon, silicon carbide, phosphorous doped silicon carbide, silicon phosphide, or the like. The epitaxial source/drain regions 98 in the n-type region 50N may be referred to as “n-type source/drain regions.” The epitaxial source/drain regions 98 in the n-type region 50N may have surfaces raised from respective surfaces of the fins 62 and the nanostructures 64, 66, and may have facets.

The epitaxial source/drain regions 98 in the p-type region 50P may be formed by masking the n-type region 50N. Then, the epitaxial source/drain regions 98 in the p-type region 50P are epitaxially grown in the source/drain recesses 94 in the p-type region 50P. The epitaxial source/drain regions 98 may include any acceptable material appropriate for p-type devices. For example, the epitaxial source/drain regions 98 in the p-type region 50P may include materials exerting a compressive strain on the channel regions 68, such as silicon germanium, boron doped silicon germanium, germanium, germanium tin, or the like. The epitaxial source/drain regions 98 in the p-type region 50P may be referred to as “p-type source/drain regions.” The epitaxial source/drain regions 98 in the p-type region 50P may have surfaces raised from respective surfaces of the fins 62 and the nanostructures 64, 66, and may have facets.

The epitaxial source/drain regions 98, the nanostructures 64, 66, and/or the fins 62 may be implanted with impurities to form source/drain regions, similar to the process previously described for forming LDD regions, followed by an anneal. The source/drain regions may have an impurity concentration in the range of about 1019 cm−3 to about 1021 cm−3. The n-type and/or p-type impurities for source/drain regions may be any of the impurities previously described. In some embodiments, the epitaxial source/drain regions 98 may be in situ doped during growth.

As a result of the epitaxy processes used to form the epitaxial source/drain regions 98, upper surfaces of the epitaxial source/drain regions have facets which expand laterally outward beyond sidewalls of the fins 62 and the nanostructures 64, 66. In some embodiments, these facets cause adjacent epitaxial source/drain regions 98 to merge as illustrated by FIG. 9C. In some embodiments, adjacent epitaxial source/drain regions 98 remain separated after the epitaxy process is completed as illustrated by FIG. 9D. In the illustrated embodiments, the spacer etch used to form the gate spacers 90 is adjusted to also form fin spacers 92 on sidewalls of the fins 62 and/or the nanostructures 64, 66. The fin spacers 92 are formed to cover a portion of the sidewalls of the fins 62 and/or the nanostructures 64, 66 that extend above the STI regions 70, thereby blocking the epitaxial growth. In another embodiment, the spacer etch used to form the gate spacers 90 is adjusted to not form fin spacers, so as to allow the epitaxial source/drain regions 98 to extend to the surface of the STI regions 70.

The epitaxial source/drain regions 98 may include one or more semiconductor material layers. For example, the epitaxial source/drain regions 98 may each include a liner layer 98A, a main layer 98B, and a finishing layer 98C (or more generally, a first semiconductor material layer, a second semiconductor material layer, and a third semiconductor material layer). Any number of semiconductor material layers may be used for the epitaxial source/drain regions 98. Each of the liner layer 98A, the main layer 98B, and the finishing layer 98C may be formed of different semiconductor materials and may be doped to different impurity concentrations. In some embodiments, the liner layer 98A may have a lesser concentration of impurities than the main layer 98B, and the finishing layer 98C may have a greater concentration of impurities than the liner layer 98A and a lesser concentration of impurities than the main layer 98B. In embodiments in which the epitaxial source/drain regions 98 include three semiconductor material layers, the liner layers 98A may be grown in the source/drain recesses 94, the main layers 98B may be grown on the liner layers 98A, and the finishing layers 98C may be grown on the main layers 98B.

In FIGS. 10A and 10B, a first inter-layer dielectric (ILD) 104 is deposited over the epitaxial source/drain regions 98, the gate spacers 90, the masks 86 (if present) or the dummy gates 84. The first ILD 104 may be formed of a dielectric material, which may be deposited by any suitable method, such as CVD, plasma-enhanced CVD (PECVD), FCVD, or the like. Acceptable dielectric materials may include phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass (BPSG), undoped silicate glass (USG), or the like. Other insulation materials formed by any acceptable process may be used.

In some embodiments, a contact etch stop layer (CESL) 102 is formed between the first ILD 104 and the epitaxial source/drain regions 98, the gate spacers 90, and the masks 86 (if present) or the dummy gates 84. The CESL 102 may be formed of a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, or the like, having a high etching selectivity from the etching of the first ILD 104. The CESL 102 may be formed by an any suitable method, such as CVD, ALD, or the like.

In FIGS. 11A and 11B, a removal process is performed to level the top surfaces of the first ILD 104 with the top surfaces of the masks 86 (if present) or the dummy gates 84. In some embodiments, a planarization process such as a chemical mechanical polish (CMP), an etch-back process, combinations thereof, or the like may be utilized. The planarization process may also remove the masks 86 on the dummy gates 84, and portions of the gate spacers 90 along sidewalls of the masks 86. After the planarization process, the top surfaces of the gate spacers 90, the first ILD 104, the CESL 102, and the masks 86 (if present) or the dummy gates 84 are coplanar (within process variations). Accordingly, the top surfaces of the masks 86 (if present) or the dummy gates 84 are exposed through the first ILD 104. In the illustrated embodiment, the masks 86 remain, and the planarization process levels the top surfaces of the first ILD 104 with the top surfaces of the masks 86.

In FIGS. 12A and 12B, the masks 86 (if present) and the dummy gates 84 are removed in an etching process, so that recesses 106 are formed. Portions of the dummy dielectrics 82 in the recesses 106 are also removed. In some embodiments, the dummy gates 84 are removed by an anisotropic dry etch process. For example, the etching process may include a dry etch process using reaction gas(es) that selectively etch the dummy gates 84 at a faster rate than the first ILD 104 or the gate spacers 90. During the removal, the dummy dielectrics 82 may be used as etch stop layers when the dummy gates 84 are etched. The dummy dielectrics 82 are then removed. Each recess 106 exposes and/or overlies portions of the channel regions 68. Portions of the second nanostructures 66 which act as the channel regions 68 are disposed between adjacent pairs of the epitaxial source/drain regions 98.

The remaining portions of the first nanostructures 64 are then removed to expand the recesses 106, such that openings 108 are formed in regions 501 between the second nanostructures 66. The remaining portions of the first nanostructures 64 can be removed by any acceptable etching process that selectively etches the material of the first nanostructures 64 at a faster rate than the material of the second nanostructures 66. The etching may be isotropic. For example, when the first nanostructures 64 are formed of silicon germanium and the second nanostructures 66 are formed of silicon, the etching process may be a wet etch using tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH4OH), or the like. In some embodiments, a trim process (not separately illustrated) is performed to decrease the thicknesses of the exposed portions of the second nanostructures 66. As illustrated more clearly in FIGS. 14A-19B (subsequently described in greater detail), the remaining portions of the second nanostructures 66 can have rounded corners.

In FIGS. 13A and 13B, a gate dielectric layer 112 is formed in the recesses 106. A gate electrode layer 114 is formed on the gate dielectric layer 112. The gate dielectric layer 112 and the gate electrode layer 114 are layers for replacement gates, and each wrap around all (e.g., four) sides of the second nanostructures 66.

The gate dielectric layer 112 is disposed on the sidewalls and/or the top surfaces of the fins 62; on the top surfaces, the sidewalls, and the bottom surfaces of the second nanostructures 66; and on the sidewalls of the gate spacers 90. The gate dielectric layer 112 may also be formed on the top surfaces of the first ILD 104 and the gate spacers 90. The gate dielectric layer 112 may include an oxide such as silicon oxide or a metal oxide, a silicate such as a metal silicate, combinations thereof, multi-layers thereof, or the like. The gate dielectric layer 112 may include a dielectric material having a k-value greater than about 7.0, such as a metal oxide or a silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof. Although a single-layered gate dielectric layer 112 is illustrated in FIGS. 13A and 13B, as will be subsequently described in greater detail, the gate dielectric layer 112 may include an interfacial layer and a main layer.

The gate electrode layer 114 may include a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, multi-layers thereof, or the like. Although a single-layered gate electrode layer 114 is illustrated in FIGS. 13A and 13B, as will be subsequently described in greater detail, the gate electrode layer 114 may include any number of work function tuning layers, any number of barrier layers, any number of glue layers, and a fill material.

The formation of the gate dielectric layers 112 in the n-type region 50N and the p-type region 50P may occur simultaneously such that the gate dielectric layers 112 in each region are formed of the same materials, and the formation of the gate electrode layers 114 may occur simultaneously such that the gate electrode layers 114 in each region are formed of the same materials. In some embodiments, the gate dielectric layers 112 in each region may be formed by distinct processes, such that the gate dielectric layers 112 may be different materials and/or have a different number of layers, and/or the gate electrode layers 114 in each region may be formed by distinct processes, such that the gate electrode layers 114 may be different materials and/or have a different number of layers. Various masking steps may be used to mask and expose appropriate regions when using distinct processes. In the following description, at least portions of the gate electrode layers 114 in the n-type region 50N and the gate electrode layers 114 in the p-type region 50P are formed separately.

FIGS. 14A-19B illustrate a process in which gate dielectric layers 112 and gate electrode layers 114 for replacement gates are formed in the recesses 106. Features in regions that are similar to a region 50R in FIG. 13A are illustrated. When forming the replacement gate layers, a first work function tuning layer 114A (see FIG. 17A) and a barrier layer 114B (see FIG. 17A) are formed in a first region (e.g., the p-type region 50P). A second work function tuning layer 114C (see FIGS. 18A and 18B) is then formed in both the first region (e.g., the p-type region 50P) and a second region (e.g., the n-type region 50N). Because the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N) include different quantities and types of work function tuning layers, the devices formed in the regions have different threshold voltages. Further, the barrier layer 114B is disposed between the first work function tuning layer 114A and the second work function tuning layer 114C in the first region (e.g., the p-type region 50P). During deposition of the second work function tuning layer 114C, the barrier layer 114B protects the underlying first work function tuning layer 114A so that its work function is not modified by diffusion of metal into the first work function tuning layer 114A. The threshold voltages of the resulting devices may thus be more accurately tuned.

In FIGS. 14A and 14B, the gate dielectric layer 112 is deposited in the recesses 106 in both the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N). The gate dielectric layer 112 may also be deposited on the top surfaces of the first ILD 104 and the gate spacers 90 (see FIG. 13B). The formation methods of the gate dielectric layer 112 may include molecular-beam deposition (MBD), ALD, PECVD, and the like. The gate dielectric layer 112 wraps around all (e.g., four) sides of the second nanostructures 66. In the illustrated embodiment, the gate dielectric layer 112 is multi-layered, including an interfacial layer 112A (or more generally, a first gate dielectric layer) and an overlying high-k dielectric layer 112B (or more generally, a second gate dielectric layer). The interfacial layer 112A may be formed of silicon oxide and the high-k dielectric layer 112B may be formed of hafnium oxide.

In FIGS. 15A and 15B, a first work function tuning layer 114A is deposited on the gate dielectric layer 112 in both the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N). As will be subsequently described in greater detail, the first work function tuning layer 114A will be patterned to remove portions of the first work function tuning layer 114A in the second region (e.g., the n-type region 50N) while leaving portions of the first work function tuning layer 114A in the first region (e.g., the p-type region 50P). The first work function tuning layer 114A may be referred to as a “p-type work function tuning layer” when it is removed from the second region (e.g., the n-type region 50N) and remains in the first region (e.g., the p-type region 50P). The first work function tuning layer 114A includes any acceptable material to tune a work function of a device to a desired amount given the application of the device to be formed, and may be deposited using any acceptable deposition process. For example, when the first work function tuning layer 114A is a p-type work function tuning layer, it may be formed of a p-type work function metal (PWFM) such as titanium nitride (TiN), tantalum nitride (TaN), combinations thereof, or the like, which may be deposited by ALD, CVD, PVD, or the like. Although the first work function tuning layer 114A is shown as being single layered, the first work function tuning layer 114A can be multi-layered. For example, the first work function tuning layer 114A can include a layer of titanium nitride (TiN) and a layer of tantalum nitride (TaN).

The first work function tuning layer 114A fills the remaining portions of the regions 501 between the second nanostructures 66 (e.g., filling the openings 108, see FIGS. 14A and 14B) in both the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N). Specifically, the first work function tuning layer 114A is deposited on the gate dielectric layer 112 until it is thick enough to merge and seam together. In some embodiments, interfaces 116 are formed by the contacting of adjacent portions of the first work function tuning layer 114A (e.g., those portions around the second nanostructures 66). As a result, the openings 108 are completely filled by the dielectric material(s) of the gate dielectric layer 112 and the work function metal of the first work function tuning layer 114A so that no barrier layers (subsequently described in greater detail) can be formed in the openings 108. By not depositing barrier layers in the openings 108, manufacturing ease can be improved, particularly in advanced semiconductor nodes with small feature sizes, as barrier layer materials can be difficult to deposit in small spaces. Respective portions of the gate dielectric layer 112 wrap around each of the second nano structures 66, and respective portions of the first work function tuning layer 114A fill areas between the respective portions of the gate dielectric layer 112 in both the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N). In some embodiments, the first work function tuning layer 114A is formed to a thickness in a range of about 5 Å to about 60 Å. Forming the first work function tuning layer 114A to a thickness of less than about 5 Å may not result in merging of portions of the first work function tuning layer 114A. Forming the first work function tuning layer 114A to a thickness of greater than about 60 Å may negatively impact the threshold voltages of the resulting devices.

In FIGS. 16A and 16B, a barrier layer 114B is deposited on the first work function tuning layer 114A. As will be subsequently described in greater detail, a second work function tuning layer will be formed over the barrier layer 114B, and the second work function tuning layer can be formed of a metal that easily diffuses. The barrier layer 114B is formed of a barrier material that is resistant to the diffusion of metal, thus inhibiting (e.g., substantially preventing or at least reducing) modification of the work function of the first work function tuning layer 114A. In some embodiments, forming the second work function tuning layer includes depositing aluminum, and the barrier layer 114B is formed of a barrier material that is resistant to the diffusion of aluminum, thereby inhibiting diffusion of aluminum into the first work function tuning layer 114A. As subsequently described in greater detail, suitable barrier materials include amorphous silicon, fluorine-free tungsten, or the like, which may be deposited by CVD, ALD, or the like.

The barrier layer 114B is formed to a thickness that is sufficient to inhibit modification of the work function of the first work function tuning layer 114A during subsequent processing. In some embodiments, the barrier layer 114B is formed to a thickness in a range of about 7 Å to about 40 Å. Forming the barrier layer 114B to a thickness of less than about 7 Å may not sufficiently protect the first work function tuning layer 114A. Forming the barrier layer 114B to a thickness of greater than about 40 Å may negatively impact the threshold voltages of the resulting devices. The barrier layer 114B may have a lesser thickness than the first work function tuning layer 114A.

In some embodiments, the barrier layer 114B is formed of amorphous silicon, which is deposited by a CVD process. Specifically, the barrier layer 114B may be formed by placing the substrate 50 in a deposition chamber and dispensing a silicon source precursor into the deposition chamber. Acceptable silicon source precursors include binary silicon-hydrogen compound silanes such as silane (SiH4), disilane (Si2H6), and the like. The CVD process can be performed at a temperature in the range of about 275° C. to about 500° C. and at a pressure in the range of about 3 torr to about 45 torr, e.g., by maintaining the deposition chamber at such a temperature and pressure. The CVD process can be performed for a duration in the range of about 0.2 seconds to about 990 seconds, e.g., by keeping the silicon source precursor in the deposition chamber for such a duration. Performing the CVD process with parameters in these ranges allows the barrier layer 114B to be formed to a desired thickness (previously described) and quality. Performing the CVD process with parameters outside of these ranges may not allow the barrier layer 114B to be formed to the desired thickness or quality.

In some embodiments, the barrier layer 114B is formed of fluorine-free tungsten, which is deposited by an ALD process. Specifically, the barrier layer 114B may be formed by placing the substrate 50 in a deposition chamber and cyclically dispensing multiple source precursors into the deposition chamber. Fluorine-free tungsten is tungsten that is free of fluorine, and is deposited with a fluorine-free tungsten source precursor, e.g., a tungsten source precursor that is free of fluorine. Depositing tungsten with a fluorine-free tungsten source precursor avoids the undesired production of corrosive fluoride byproducts during deposition. A first pulse of an ALD cycle is performed by dispensing a fluorine-free tungsten source precursor into the deposition chamber. Acceptable fluorine-free tungsten source precursors include tungsten chlorides such as tungsten(V) chloride (WCl5) and the like. The first pulse can be performed at a temperature in the range of about 250° C. to about 550° C. and at a pressure in the range of about 0.1 torr to about 60 torr, e.g., by maintaining the deposition chamber at such a temperature and pressure. The first pulse can be performed for a duration in the range of about 0.1 seconds to about 300 seconds, e.g., by keeping the fluorine-free tungsten source precursor in the deposition chamber for such a duration. The fluorine-free tungsten source precursor is then purged from the deposition chamber, such as by an acceptable vacuuming process and/or by flowing an inert gas into the deposition chamber. A second pulse of the ALD cycle is performed by dispensing a hydrogen source precursor into the deposition chamber. Acceptable hydrogen source precursors include hydrogen gas (H2) and the like. The second pulse can be performed at a temperature in the range of about 250° C. to about 550° C. and at a pressure in the range of about 0.1 torr to about 60 torr, e.g., by maintaining the deposition chamber at such a temperature and pressure. The second pulse can be performed for a duration in the range of about 0.1 seconds to about 300 seconds, e.g., by keeping the hydrogen source precursor in the deposition chamber for such a duration. The hydrogen source precursor is then purged from the deposition chamber, such as by an acceptable vacuuming process and/or by flowing an inert gas into the deposition chamber. Each ALD cycle results in the deposition of an atomic layer (sometimes called a monolayer) of fluorine-free tungsten. The ALD cycles are repeated until the barrier layer 114B has a desired thickness (previously described). The ALD cycles can be repeated from about 1 to about 500 times. Performing the ALD process with parameters in these ranges allows the barrier layer 114B to be formed to a desired thickness (previously described) and quality. Performing the ALD process with parameters outside of these ranges may not allow the barrier layer 114B to be formed to the desired thickness or quality.

In the illustrated embodiment, the barrier layer 114B is a multi-layer of barrier material(s), including a first barrier sub-layer 114B1 and a second barrier sub-layer 114B2. Such a barrier layer 114B is formed by depositing the first barrier sub-layer 114B1 and then depositing the second barrier sub-layer 114B2 over the first barrier sub-layer 114B1. In some embodiments, an upper portion of the first barrier sub-layer 114B1 is oxidized after the deposition of the first barrier sub-layer 114B1 and before the deposition of the second barrier sub-layer 114B2, thereby forming a third barrier sub-layer 114B3 between the first barrier sub-layer 114B1 and the second barrier sub-layer 114B2. The upper portion of the first barrier sub-layer 114B1 can be oxidized by exposing the first barrier sub-layer 114B1 to an oxygen-containing environment. The oxygen-containing environment may be the same processing chamber in which the first barrier sub-layer 114B1 is deposited, or may be a different processing chamber. Accordingly, the material of the third barrier sub-layer 114B3 is an oxide of the barrier material of the first barrier sub-layer 114B1. For example, when the first barrier sub-layer 114B1 is formed of amorphous silicon, the third barrier sub-layer 114B3 is formed of silicon oxide. The first barrier sub-layer 114B1 and the second barrier sub-layer 114B2 can be formed of the same barrier material, or can include different barrier materials. Continuing the previous example when the first barrier sub-layer 114B1 is formed of amorphous silicon, the second barrier sub-layer 114B2 can also be formed of amorphous silicon, or the second barrier sub-layer 114B2 can be formed of another barrier material such as fluorine-free tungsten. When the first barrier sub-layer 114B1 and the second barrier sub-layer 114B2 are formed of the same barrier material, depositing the second barrier sub-layer 114B2 can include depositing more of the barrier material of the first barrier sub-layer 114B1. The third barrier sub-layer 114B3 can be thin, such as thinner than the first barrier sub-layer 114B1 and the second barrier sub-layer 114B2. For example, the third barrier sub-layer 114B3 can be from about 20% to about 75% the total thickness of the barrier layer 114B. When the third barrier sub-layer 114B3 is formed of an oxide and is thin, it may be referred to as a “thin oxide.” As subsequently described in greater detail, including the third barrier sub-layer 114B3 (e.g., a thin oxide) in the barrier layer 114B can help the barrier layer 114B further resist the diffusion of metal. In another embodiment (subsequently described for FIGS. 23A and 23B), the barrier layer 114B is a single continuous layer of a barrier material, which does not include an intermediate thin oxide sub-layer.

In FIGS. 17A and 17B, portions of the barrier layer 114B and the first work function tuning layer 114A are removed from the second region (e.g., the n-type region 50N). Removing the portions of the barrier layer 114B and the first work function tuning layer 114A from the second region (e.g., the n-type region 50N) expands the recesses 106 in the second region (e.g., the n-type region 50N) to re-expose the gate dielectric layer 112 and re-form the openings 108 in the second region (e.g., the n-type region 50N). The removal may be by acceptable photolithography and etching techniques. The etching may include any acceptable etch process, such as a reactive ion etch (RIE), neutral beam etch (NBE), the like, or a combination thereof. The etching may be anisotropic.

In some embodiments, a first etch is performed to remove the portions of the barrier layer 114B and a second etch is performed to remove the portions of the first work function tuning layer 114A. The first etch may be selective to the barrier layer 114B (e.g., selectively etches the material of the barrier layer 114B at a faster rate than the material of the first work function tuning layer 114A). For example, when the barrier layer 114B is formed of amorphous silicon, it may be removed by a wet etch using dilute hydrofluoric (dHF) acid. The second etch may be selective to the first work function tuning layer 114A (e.g., selectively etches the material of the first work function tuning layer 114A at a faster rate than the material of the gate dielectric layer 112). For example, when the first work function tuning layer 114A is formed of titanium nitride, it may be removed by a wet etch using ammonium hydroxide (NH4OH) and hydrogen peroxide (H2O2). In other embodiments, a single etch is performed to remove the portions of both the barrier layer 114B and the first work function tuning layer 114A

In FIGS. 18A and 18B, a second work function tuning layer 114C is deposited on the barrier layer 114B in the first region (e.g., the p-type region 50P) and on the gate dielectric layer 112 in the second region (e.g., the n-type region 50N). As will be subsequently described in greater detail, n-type devices will be formed having the second work function tuning layer 114C in the second region (e.g., the n-type region 50N), and p-type devices will be formed having the first work function tuning layer 114A and the second work function tuning layer 114C in the first region (e.g., the p-type region 50P). The second work function tuning layer 114C may be referred to as a “n-type work function tuning layer” when it is the only work function tuning layer in the second region (e.g., the n-type region 50N). The second work function tuning layer 114C includes any acceptable material to tune a work function of a device to a desired amount given the application of the device to be formed, and may be deposited using any acceptable deposition process. For example, when the second work function tuning layer 114C is a n-type work function tuning layer, it may be formed of a n-type work function metal (NWFM) such as titanium aluminum (TiAl), titanium aluminum carbide (TiAlC), titanium aluminum nitride (TiAlN), combinations thereof, or the like, which may be deposited by ALD, CVD, PVD, or the like. Although the second work function tuning layer 114C is shown as being single layered, the second work function tuning layer 114C can be multi-layered. For example, the second work function tuning layer 114C can include a layer of titanium aluminum nitride (TiAlN) and a layer of titanium nitride (TiN).

In embodiments where the second work function tuning layer 114C is a n-type work function tuning layer, it may include a metal element that is suitable for tuning the threshold voltages of n-type devices, such as aluminum, which can also easily diffuse. For example, when the second work function tuning layer 114C includes aluminum, it can be deposited by ALD or CVD using an aluminum-containing precursor such as triethylaluminium (TEA) (Al2(C2H5)6), trimethylaluminium (TMA) (Al2(CH3)6), or the like. During deposition, aluminum dissociates from the aluminum-containing precursor to form the material of the second work function tuning layer 114C, but aluminum may also dissociate from the aluminum-containing precursor and diffuse into the barrier layer 114B. Similarly, the second work function tuning layer 114C can also be deposited by PVD, in which case sputtered aluminum ions may diffuse into the barrier layer 114B. The barrier layer 114B is formed of a barrier material that is resistant to the diffusion of metal, thus inhibiting diffusion of the metal element (e.g., aluminum) into the underlying first work function tuning layer 114A. Deposition of the second work function tuning layer 114C may thus form residue 120 of the metal element (e.g., aluminum) in the barrier layer 114B, with the concentration of the residue 120 being greater in the upper portion of the barrier layer 114B than in the lower portion of the barrier layer 114B. The upper portion of the barrier layer 114B is the portion distal the gate dielectric layer 112 and the first work function tuning layer 114A, and proximate the second work function tuning layer 114C. The lower portion of the barrier layer 114B is the portion proximate the gate dielectric layer 112 and the first work function tuning layer 114A, and distal the second work function tuning layer 114C. The concentration of the residue 120 may decrease through the barrier layer 114B in a direction extending from the upper portion of the barrier layer 114B to the lower portion of the barrier layer 114B. In some embodiments, the lower portion of the barrier layer 114B is free of the residue 120. Oxides may be particularly resistant to the diffusion of some metals (e.g., aluminum) that readily bond with oxygen, and so when the third barrier sub-layer 114B3 (e.g., a thin oxide) is included in the barrier layer 114B, it may be particularly effective at inhibiting diffusion of the metal into the underlying first work function tuning layer 114A. For example, when the barrier layer 114B is a multi-layer of barrier material(s), the second barrier sub-layer 114B2 can include the residue 120 while the first barrier sub-layer 114B1 is free of the residue 120.

The second work function tuning layer 114C fills the remaining portions of the regions 501 between the second nanostructures 66 (e.g., filling the openings 108, see FIG. 17B) in the second region (e.g., the n-type region 50N). Specifically, the second work function tuning layer 114C is deposited on the gate dielectric layer 112 until it is thick enough to merge and seam together. In some embodiments, interfaces 118 are formed by the contacting of adjacent portions of the second work function tuning layer 114C (e.g., those portions around the second nanostructures 66). As a result, the openings 108 in the second region (e.g., the n-type region 50N) are completely filled by the dielectric material(s) of the gate dielectric layer 112 and the work function metal of the second work function tuning layer 114C so that no glue layers (subsequently described in greater detail) can be formed in the openings 108. By not depositing glue layers in the openings 108, manufacturing ease can be improved, particularly in advanced semiconductor nodes with small feature sizes, as glue layer materials can be difficult to deposit in small spaces. Respective portions of the gate dielectric layer 112 wrap around each of the second nanostructures 66, and respective portions of the second work function tuning layer 114C fill areas between the respective portions of the gate dielectric layer 112 in the second region (e.g., the n-type region 50N). In some embodiments, the second work function tuning layer 114C is formed to a thickness in a range of about 5 Å to about 60 Å. Forming the second work function tuning layer 114C to a thickness of less than about 5 Å may not result in merging of portions of the second work function tuning layer 114C. Forming the second work function tuning layer 114C to a thickness of greater than about 60 Å may negatively impact the threshold voltages of the resulting devices. The barrier layer 114B may have a lesser thickness than the second work function tuning layer 114C.

The material of the first work function tuning layer 114A is different from the material of the second work function tuning layer 114C. As noted above, the first work function tuning layer 114A can be formed of a p-type work function metal (PWFM) and the second work function tuning layer 114C can be formed of n-type work function metal (NWFM). The PWFM is different from the NWFM. Further, the material(s) of the barrier layer 114B are different from the material of the first work function tuning layer 114A and the material of the second work function tuning layer 114C.

In FIGS. 19A and 19B, a fill layer 114E is deposited on the second work function tuning layer 114C. Optionally, a glue layer 114D is formed between the fill layer 114E and the second work function tuning layer 114C. After formation is complete, the gate electrode layer 114 includes the first work function tuning layer 114A, the barrier layer 114B, the second work function tuning layer 114C, the glue layer 114D, and the fill layer 114E.

The glue layer 114D includes any acceptable material to promote adhesion and prevent diffusion. For example, the glue layer 114D may be formed of a metal or metal nitride such as titanium nitride, titanium aluminide, titanium aluminum nitride, silicon-doped titanium nitride, tantalum nitride, or the like, which may be deposited by ALD, CVD, PVD, or the like.

The fill layer 114E includes any acceptable material of a low resistance. For example, the fill layer 114E may be formed of a metal such as tungsten, aluminum, cobalt, ruthenium, combinations thereof or the like, which may be deposited by ALD, CVD, PVD, or the like. The fill layer 114E fills the remaining portions of the recesses 106.

Although the barrier layer 114B is used to protect the first work function tuning layer 114A during processing, it may not significantly affect the electrical characteristics of the resulting devices, and may be left in the portions of the gate electrode layer 114 in the first region (e.g., the p-type region 50P). For example, the barrier layer 114B may be thin enough to not significantly modify the work function of the gate electrode layers 114. The barrier layer 114B is disposed between and physically separates the portions of the first work function tuning layer 114A and the second work function tuning layer 114C in the first region (e.g., the p-type region 50P). Conversely, the second region (e.g., the n-type region 50N) is free of the first work function tuning layer 114A and the barrier layer 114B, such that the second work function tuning layer 114C and the gate dielectric layer 112 in the second region (e.g., the n-type region 50N) are not separated by a barrier layer, and may be in physical contact. Thus, in the second region (e.g., the n-type region 50N), the material of the second work function tuning layer 114C may extend continuously between the gate dielectric layer 112 and the glue layer 114D.

In FIGS. 20A and 20B, a removal process is performed to remove the excess portions of the materials of the gate dielectric layer 112 and the gate electrode layer 114, which excess portions are over the top surfaces of the first ILD 104 and the gate spacers 90, thereby forming gate dielectrics 122 and gate electrodes 124. In some embodiments, a planarization process such as a chemical mechanical polish (CMP), an etch-back process, combinations thereof, or the like may be utilized. The gate dielectric layer 112, when planarized, has portions left in the recesses 106 (thus forming the gate dielectrics 122). The gate electrode layer 114, when planarized, has portions left in the recesses 106 (thus forming the gate electrodes 124). The top surfaces of the gate spacers 90; the CESL 102; the first ILD 104; the gate dielectrics 122 (e.g., the interfacial layers 112A and the high-k dielectric layers 112B, see FIGS. 19A and 19B); and the gate electrodes 124 (e.g., the first work function tuning layer 114A, the barrier layer 114B, the second work function tuning layer 114C, the glue layer 114D, and the fill layer 114E, see FIGS. 19A and 19B) are coplanar (within process variations). The gate dielectrics 122 and the gate electrodes 124 form replacement gates of the resulting nano-FETs. Each respective pair of a gate dielectric 122 and a gate electrode 124 may be collectively referred to as a “gate structure.” The gate structures each extend along top surfaces, sidewalls, and bottom surfaces of a channel region 68 of the second nanostructures 66.

In FIGS. 21A and 21B, a second ILD 134 is deposited over the gate spacers 90, the CESL 102, the first ILD 104, the gate dielectrics 122, and the gate electrodes 124. In some embodiments, the second ILD 134 is a flowable film formed by a flowable CVD method. In some embodiments, the second ILD 134 is formed of a dielectric material such as PSG, BSG, BPSG, USG, or the like, which may be deposited by any suitable method, such as CVD, PECVD, or the like.

In some embodiments, an etch stop layer (ESL) 132 is formed between the second ILD 134 and the gate spacers 90, the CESL 102, the first ILD 104, the gate dielectrics 122, and the gate electrodes 124. The ESL 132 may include a dielectric material, such as, silicon nitride, silicon oxide, silicon oxynitride, or the like, having a high etching selectivity from the etching of the second ILD 134.

In FIGS. 22A and 22B, gate contacts 142 and source/drain contacts 144 are formed to contact, respectively, the gate electrodes 124 and the epitaxial source/drain regions 98. The gate contacts 142 are physically and electrically coupled to the gate electrodes 124. The source/drain contacts 144 are physically and electrically coupled to the epitaxial source/drain regions 98.

As an example to form the gate contacts 142 and the source/drain contacts 144, openings for the gate contacts 142 are formed through the second ILD 134 and the ESL 132, and openings for the source/drain contacts 144 are formed through the second ILD 134, the ESL 132, the first ILD 104, and the CESL 102. The openings may be formed using acceptable photolithography and etching techniques. A liner (not separately illustrated), such as a diffusion barrier layer, an adhesion layer, or the like, and a conductive material are formed in the openings. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as a CMP, may be performed to remove excess material from a surface of the second ILD 134. The remaining liner and conductive material form the gate contacts 142 and the source/drain contacts 144 in the openings. The gate contacts 142 and the source/drain contacts 144 may be formed in distinct processes, or may be formed in the same process. Although shown as being formed in the same cross-sections, it should be appreciated that each of the gate contacts 142 and the source/drain contacts 144 may be formed in different cross-sections, which may avoid shorting of the contacts.

Optionally, metal-semiconductor alloy regions 146 are formed at the interfaces between the epitaxial source/drain regions 98 and the source/drain contacts 144. The metal-semiconductor alloy regions 146 can be silicide regions formed of a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, etc.), germanide regions formed of a metal germanide (e.g. titanium germanide, cobalt germanide, nickel germanide, etc.), silicon-germanide regions formed of both a metal silicide and a metal germanide, or the like. The metal-semiconductor alloy regions 146 can be formed before the material(s) of the source/drain contacts 144 by depositing a metal in the openings for the source/drain contacts 144 and then performing a thermal anneal process. The metal can be any metal capable of reacting with the semiconductor materials (e.g., silicon, silicon-germanium, germanium, etc.) of the epitaxial source/drain regions 98 to form a low-resistance metal-semiconductor alloy, such as nickel, cobalt, titanium, tantalum, platinum, tungsten, other noble metals, other refractory metals, rare earth metals or their alloys. The metal can be deposited by a deposition process such as ALD, CVD, PVD, or the like. After the thermal anneal process, a cleaning process, such as a wet clean, may be performed to remove any residual metal from the openings for the source/drain contacts 144, such as from surfaces of the metal-semiconductor alloy regions 146. The material(s) of the source/drain contacts 144 can then be formed on the metal-semiconductor alloy regions 146.

FIGS. 23A and 23B are views of nano-FETs, in accordance with some other embodiments. This embodiment is similar to the embodiment of FIGS. 19A and 19B, except the barrier layer 114B is a single continuous layer of a barrier material. For example, the barrier layer 114B may be a single continuous layer of amorphous silicon or fluorine-free tungsten. The change in concentration of the residue 120 through the barrier layer 114B may be more gradual in this embodiment. For example, in the embodiment of FIGS. 19A and 19B, the concentration of the residue 120 may abruptly decrease at the third barrier sub-layer 114B3, but in the embodiment of FIGS. 23A and 23B, the concentration of the residue 120 may decrease continuously through the barrier layer 114B.

Embodiments may achieve advantages. Inclusion of the second work function tuning layer 114C in both the first region (e.g., the p-type region 50P) and the second region (e.g., the n-type region 50N) allows the work functions of the gate electrodes 124 in both regions to be tuned. Forming the barrier layer 114B between the first work function tuning layer 114A and the second work function tuning layer 114C protects the first work function tuning layer 114A from diffusion of metal during deposition of the second work function tuning layer 114C, particularly when the second work function tuning layer 114C is formed of a metal that easily diffuses, such as aluminum. The barrier layer 114B thus helps inhibit modification of the work function of the first work function tuning layer 114A during deposition of the second work function tuning layer 114C. The threshold voltages of the resulting devices in both the n-type region 50N and the p-type region 50P may thus be more accurately tuned.

In an embodiment, a device includes: a channel region; a gate dielectric layer on the channel region; a first work function tuning layer on the gate dielectric layer, the first work function tuning layer including a p-type work function metal; a barrier layer on the first work function tuning layer; a second work function tuning layer on the barrier layer, the second work function tuning layer including a n-type work function metal, the n-type work function metal different from the p-type work function metal; and a fill layer on the second work function tuning layer. In some embodiments of the device, the n-type work function metal includes a metal element, and the barrier layer is a single continuous layer of a barrier material, the barrier layer having a lower portion proximate the first work function tuning layer and having an upper portion proximate the second work function tuning layer, the upper portion of the barrier layer including residue of the metal element at a greater concentration than the lower portion of the barrier layer. In some embodiments of the device, the n-type work function metal includes a metal element, and the barrier layer includes: a first layer; a second layer on the first layer, the second layer including residue of the metal element at a greater concentration than the first layer; and an oxide layer between the first layer and the second layer, the oxide layer being thinner than the first layer and the second layer. In some embodiments of the device, the first layer includes a first barrier material, the oxide layer includes an oxide of the first barrier material, and the second layer includes a second barrier material, the second barrier material being different from the first barrier material. In some embodiments of the device, the first layer includes a barrier material, the oxide layer includes an oxide of the barrier material, and the second layer includes the barrier material. In some embodiments of the device, the barrier layer includes amorphous silicon. In some embodiments of the device, the barrier layer includes fluorine-free tungsten. In some embodiments of the device, the barrier layer has a thickness in a range of 7 Å to 40 Å.

In an embodiment, a device includes: a first transistor including: a first channel region; a first gate dielectric layer on the first channel region; a p-type work function tuning layer on the first gate dielectric layer; a barrier layer on the p-type work function tuning layer; a first n-type work function tuning layer on the barrier layer, the first n-type work function tuning layer including a metal, an upper portion of the barrier layer including residue of the metal at a greater concentration than a lower portion of the barrier layer, the upper portion of the barrier layer proximate the first n-type work function tuning layer, the lower portion of the barrier layer proximate the p-type work function tuning layer; and a first fill layer on the first n-type work function tuning layer; and a second transistor including: a second channel region; a second gate dielectric layer on the second channel region; a second n-type work function tuning layer on the second gate dielectric layer, the second n-type work function tuning layer including the metal; and a second fill layer on the second n-type work function tuning layer. In some embodiments of the device, the barrier layer includes a single continuous layer of a barrier material between the p-type work function tuning layer and the first n-type work function tuning layer. In some embodiments of the device, the barrier layer includes a multi-layer of barrier materials between the p-type work function tuning layer and the first n-type work function tuning layer. In some embodiments of the device, the metal is aluminum.

In an embodiment, a method includes: depositing a gate dielectric layer having a first portion and a second portion, the first portion deposited on a first channel region, the second portion deposited on a second channel region; forming a first work function tuning layer on the first portion of the gate dielectric layer; forming a barrier layer on the first work function tuning layer; and depositing a second work function tuning layer on the barrier layer and the second portion of the gate dielectric layer, the barrier layer inhibiting modification of a first work function of the first work function tuning layer during deposition of the second work function tuning layer. In some embodiments of the method, depositing the barrier layer includes depositing amorphous silicon by a CVD process, the CVD process performed with silane, the CVD process performed at a temperature in a range of 275° C. to 500° C., the CVD process performed at a pressure in a range of 3 torr to 45 torr, the barrier layer deposited to a thickness in a range of 7 Å to 40 Å. In some embodiments of the method, depositing the barrier layer includes depositing fluorine-free tungsten by an ALD process, the ALD process performed with tungsten(V) chloride and hydrogen, the ALD process performed at a temperature in a range of 250° C. to 550° C., the ALD process performed at a pressure in a range of 0.1 torr to 60 torr, the barrier layer deposited to a thickness in a range of 7 Å to 40 Å. In some embodiments of the method, depositing the second work function tuning layer includes depositing a metal, the barrier layer inhibiting diffusion of the metal into the first work function tuning layer during deposition of the second work function tuning layer. In some embodiments of the method, forming the first work function tuning layer includes depositing the first work function tuning layer on the first portion and the second portion of the gate dielectric layer, and forming the barrier layer includes: depositing the barrier layer on the first work function tuning layer; and removing portions of the barrier layer and the first work function tuning layer to expose the second portion of the gate dielectric layer. In some embodiments of the method, depositing the barrier layer includes depositing a single continuous layer of a barrier material. In some embodiments of the method, depositing the barrier layer includes: depositing a first barrier material; oxidizing an upper portion of the first barrier material; and after oxidizing the upper portion of the first barrier material, depositing a second barrier material on the first barrier material. In some embodiments of the method, depositing the barrier layer includes: depositing a barrier material; oxidizing an upper portion of the barrier material; and after oxidizing the upper portion of the barrier material, depositing more of the barrier material.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims

1. A device comprising:

a first nanostructure;
a second nanostructure over the first nanostructure;
a gate dielectric layer over the first nanostructure and the second nanostructure;
a p-type work function tuning layer over the gate dielectric layer;
a barrier layer over the p-type work function tuning layer, a region between the first nanostructure and the second nanostructure being free of the barrier layer;
a n-type work function tuning layer over the barrier layer; and
a fill layer over the n-type work function tuning layer.

2. The device of claim 1, wherein the n-type work function tuning layer comprises a metal element, the barrier layer has a lower portion proximate the p-type work function tuning layer and has an upper portion proximate the n-type work function tuning layer, and the upper portion of the barrier layer comprising residue of the metal element at a greater concentration than the lower portion of the barrier layer.

3. The device of claim 2, wherein the metal element is aluminum.

4. The device of claim 1, wherein the barrier layer is thinner than the p-type work function tuning layer and the n-type work function tuning layer.

5. The device of claim 1, wherein the barrier layer is a single continuous layer of a conductive material that extends from the p-type work function tuning layer to the n-type work function tuning layer.

6. The device of claim 1, wherein the barrier layer is a single continuous layer of a non-conductive material that extends from the p-type work function tuning layer to the n-type work function tuning layer.

7. The device of claim 1, wherein the barrier layer comprises:

a first layer proximate the p-type work function tuning layer, the first layer comprising a conductive material;
a second layer proximate the n-type work function tuning layer, the second layer comprising the conductive material; and
an oxide layer between the first layer and the second layer, the oxide layer comprising an oxide of the conductive material, the oxide layer being thinner than the first layer and the second layer.

8. The device of claim 1, wherein the barrier layer comprises:

a first layer proximate the p-type work function tuning layer, the first layer comprising a non-conductive material;
a second layer proximate the n-type work function tuning layer, the second layer comprising the non-conductive material; and
an oxide layer between the first layer and the second layer, the oxide layer comprising an oxide of the non-conductive material, the oxide layer being thinner than the first layer and the second layer.

9. A device comprising:

a first nanostructure;
a second nanostructure over the first nanostructure; and
a gate electrode comprising: a first work function tuning layer having a first portion wrapped around the first nanostructure and having a second portion wrapped around the second nanostructure, the first portion of the first work function tuning layer contacting the second portion of the first work function tuning layer in a region between the first nanostructure and the second nanostructure, the first work function tuning layer comprising a p-type work function metal; a barrier layer over the first work function tuning layer; a second work function tuning layer over the barrier layer, the second work function tuning layer comprising a n-type work function metal; and a fill layer over the second work function tuning layer.

10. The device of claim 9, wherein the p-type work function metal is titanium nitride or tantalum nitride.

11. The device of claim 9, wherein the n-type work function metal is titanium aluminum, titanium aluminum carbide, or titanium aluminum nitride.

12. The device of claim 9, wherein an interface is formed by the contacting of the first portion of the first work function tuning layer and the second portion of the first work function tuning layer.

13. The device of claim 9, wherein the barrier layer has a lesser thickness than the first work function tuning layer.

14. The device of claim 9, wherein the barrier layer has a lesser thickness than the second work function tuning layer.

15. A method comprising:

depositing a p-type work function metal over a first nanostructure and over a second nanostructure;
forming a barrier material over the p-type work function metal;
removing first portions of the barrier material and the p-type work function metal over the first nanostructure, second portions of the barrier material and the p-type work function metal remaining over the second nanostructure; and
depositing a n-type work function metal over the barrier material and the first nanostructure, ions of the n-type work function metal diffusing into and bonding to the barrier material during the depositing of the n-type work function metal.

16. The method of claim 15, wherein forming the barrier material comprises depositing amorphous silicon by a chemical vapor deposition process with silane.

17. The method of claim 15, wherein forming the barrier material comprises depositing fluorine-free tungsten by an atomic layer deposition process with tungsten(V) chloride and hydrogen.

18. The method of claim 15, wherein depositing the n-type work function metal comprises sputtering the n-type work function metal by a physical vapor deposition process.

19. The method of claim 15, wherein a work function of the p-type work function metal before the depositing of the n-type work function metal is the same as a work function of the p-type work function metal after the depositing of the n-type work function metal.

20. The method of claim 15, wherein a work function of the p-type work function metal before the depositing of the n-type work function metal is different than a work function of the p-type work function metal after the depositing of the n-type work function metal.

Patent History
Publication number: 20230261051
Type: Application
Filed: Apr 18, 2023
Publication Date: Aug 17, 2023
Inventors: Hsin-Yi Lee (Hsinchu), Cheng-Lung Hung (Hsinchu), Chi On Chui (Hsinchu)
Application Number: 18/302,132
Classifications
International Classification: H01L 29/06 (20060101); H01L 29/78 (20060101); H01L 29/66 (20060101); H01L 27/092 (20060101);