Patents Issued in October 12, 2010
  • Patent number: 7811885
    Abstract: A phase change device may be formed by forming a phase change material and an electrode in a pore in an insulator. The phase change material fills less of the pore than the electrode.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: October 12, 2010
    Assignee: Ovonyx, Inc.
    Inventor: Ilya V. Karpov
  • Patent number: 7811886
    Abstract: A semiconductor process and apparatus are disclosed for forming a split-gate thin film storage NVM device (10) by forming a select gate structure (3) on a first dielectric layer (2) over a substrate (1); forming a control gate structure (6) on a second dielectric layer (5) having embedded nanocrystals (15, 16) so that the control gate (6) is adjacent to the select gate structure (3) but separated therefrom by a gap (8); forming a floating doped region (4) in the substrate (1) below the gap (8) formed between the select gate structure and control gate structure; and forming source/drain regions (11, 12) in the substrate to define a channel region that includes the floating doped region (4).
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Brian A. Winstead, Taras A. Kirichenko, Konstantin V. Loiko, Ramachandran Muralidhar, Rajesh A. Rao, Sung-Taeg Kang, Ko-Min Chang, Jane Yater
  • Patent number: 7811887
    Abstract: Silicon trench isolation (STI) is formed between adjacent diffusions in a semiconductor device, such as between bitlines in a memory array. The STI may be self-aligned to the diffusions, and may prevent misaligned bitline (BL) contacts from contacting silicon outside of the corresponding bitlines. The bitline contacts may have sufficient overlap of the bitlines to ensure full coverage by the bitlines. Bitline oxides formed over buried bitlines may be used to self-align trenches of the STI to the bitlines. The STI trenches may be lined with a CMOS spacer, salicide blocking layer and/or a contact etch stop layer. STI may be formed after Poly-2 etch or after word line salicidation. The memory cells may be NVM devices such as NROM, SONOS, SANOS, MANOS, TANOS or Floating Gate (FG) devices.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: October 12, 2010
    Assignee: Saifun Semiconductors Ltd.
    Inventors: Rustom Irani, Amichai Givant
  • Patent number: 7811888
    Abstract: A method of fabricating a semiconductor memory device to protect a tunneling insulating layer from etching-damage includes the steps of forming sequentially a tunnel insulating layer, a first conductive layer, a dielectric layer and a second conductive layer on a semiconductor substrate; etching the second conductive layer, the dielectric layer and the first conductive layer to form gate patterns, the first conductive layer remaining on the tunnel insulating layer between the gate patterns to prevent the tunnel insulating layer from being exposed; performing a cleaning process to remove impurities generated in the etching step; performing an ion implanting process to mono-crystallize the first conductive layer remaining on the tunnel insulating layer; and performing an oxidation process to form an oxide layer on top and side walls of the gate patterns and to convert the mono-crystallized first conductive layer into an insulating layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Soo Shon
  • Patent number: 7811889
    Abstract: A fin field effect transistor (FinFET) memory cell and method of formation has a substrate for providing mechanical support. A first dielectric layer overlies the substrate. A fin structure overlies the dielectric layer and has a first current electrode and a second current electrode separated by a channel. A floating gate has a vertical portion that is adjacent to and electrically insulated from a side of the channel and has a horizontal portion overlying the first dielectric layer and extending laterally away from the channel. The floating gate stores electrical charge. A second dielectric layer is adjacent the floating gate. A control gate adjacent the second dielectric layer and physically separated from the floating gate by the second dielectric layer. The “L-shape” of the floating gate enhances capacitive coupling ratio between the control gate and the floating gate.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vishal P. Trivedi, Leo Mathew
  • Patent number: 7811890
    Abstract: A vertical channel transistor structure is provided. The structure includes a substrate, a channel, a cap layer, a charge trapping layer, a source and a drain. The channel is formed in a fin-shaped structure protruding from the substrate. The cap layer is deposited on the fin-shaped structure. The cap layer and the fin-shaped structure have substantially the same width. The charge trapping layer is deposited on the cap layer and on two vertical surfaces of the fin-shaped structure. The gate is deposited on the charge trapping layer and on two vertical surfaces of the fin-shaped structure. The source and the drain are respectively positioned on two sides of the fin-shaped structure and opposite the gate.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: October 12, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Tzu-Hsuan Hsu, Yen-Hao Shih, Chia-Wei Wu
  • Patent number: 7811891
    Abstract: A semiconductor process and apparatus uses a predetermined sequence of patterning and etching steps to etch a gate stack (30, 32) formed over a substrate (36), thereby forming an etched gate (33) having a vertical sidewall profile (35). By constructing the gate stack (30, 32) with a graded material composition of silicon-based layers, the composition of which is selected to counteract the etching tendencies of the predetermined sequence of patterning and etching steps, a more idealized vertical gate sidewall profile (35) may be obtained.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, Olubunmi O. Adetutu, Phillip J. Stout
  • Patent number: 7811892
    Abstract: A method of fabricating a dielectric layer is described. A substrate is provided, and a dielectric layer is formed over the substrate. The dielectric layer is performed with a nitridation process. The dielectric layer is performed with a first annealing process. A first gas used in the first annealing process includes inert gas and oxygen. The first gas has a first partial pressure ratio of inert gas to oxygen. The dielectric layer is performed with the second annealing process. A second gas used in the second annealing includes inert gas and oxygen. The second gas has a second partial pressure ratio of inert gas to oxygen, and the second partial pressure ratio is smaller than the first partial pressure ratio. At least one annealing temperature of the two annealing processes is equal to or greater than 950° C. The invention improves uniformity of nitrogen dopants distributed in dielectric layer.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: October 12, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yun-Ren Wang, Ying-Wei Yen, Chien-Hua Lung, Shu-Yen Chan, Kuo-Tai Huang
  • Patent number: 7811893
    Abstract: The present invention provides, in one embodiment, a method of manufacturing a metal oxide semiconductor (MOS) transistor (100). The method comprises forming an active area (105) in a substrate (115), wherein the active area (105) is bounded by an isolation structure (120). The method further includes placing at least one stress adjuster (130) adjacent the active area (105), wherein the stress adjuster (130) is positioned to modify a mobility of a majority carrier within a channel region (155) of the MOS transistor (100). Other embodiments of the present invention include a MOS transistor device (200) and a process (300) for constructing an integrated circuit.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: October 12, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Jong Shik Yoon, Andrew Tae Kim
  • Patent number: 7811894
    Abstract: An improved bipolar junction transistor and a method for manufacturing the same are provided. The bipolar junction transistor includes: a buried layer and a high concentration N-type collector region in a P-type semiconductor substrate; a low concentration P-type base region in the semiconductor substrate above the buried layer; a first high concentration P-type base region along an edge of the low concentration P-type base region; a second high concentration P-type base region at a center of the low concentration P-type base region; a high concentration N-type emitter region between the first and second high concentration base regions; and insulating layer spacers between the high concentration base regions and the high concentration emitter regions. In the bipolar junction transistor, the emitter-base distance can be reduced using a trench and an insulating layer spacer. This may improve base voltage and high-speed response characteristics.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: October 12, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Nam Joo Kim
  • Patent number: 7811895
    Abstract: A stacked capacitor in a memory cell has a bottom electrode made of a metal or metal compound, a capacitor insulation film and a top electrode made of a metal or a metal compound. The capacitor insulation film includes an aluminum oxide film having a thickness of 2 to 4 nm and in contact with the bottom electrode, and an overlying hafnium oxide film having a thickness of 3 to 6 nm. The stacked capacitor has a higher resistance against a biased temperature test.
    Type: Grant
    Filed: May 12, 2009
    Date of Patent: October 12, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Shinpei Iijima
  • Patent number: 7811896
    Abstract: In various embodiments, semiconductor structures and methods to manufacture these structures are disclosed. In one embodiment, a method to manufacture a semiconductor structure includes forming a cavity in a substrate. A portion of the substrate is doped, or a doped material is deposited over a portion of the substrate. At least a portion of the doped substrate or at least a portion of the doped material is converted to a dielectric material to enclose the cavity. The forming of the cavity may occur before or after the doping of the substrate or the depositing of the doped material. Other embodiments are described and claimed.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: October 12, 2010
    Assignee: HVVi Semiconductors, Inc.
    Inventor: Bishnu Prasanna Gogoi
  • Patent number: 7811897
    Abstract: A wet etching method of removing silicon from a substrate includes depositing a layer comprising silicon in elemental form over a substrate. The layer is exposed to an aqueous liquid etching solution comprising a hydroxide and a fluoride, and having a pH of at least 10, under conditions and for a period of time effective to etch the elemental silicon from the substrate. Wet etching can be employed in methods of forming trench isolation, and in other methods. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: October 12, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo
  • Patent number: 7811898
    Abstract: The invention relates to a method and a device (1) for bonding wafers (6, 9). Here at least one wafer surface is first wetted with a molecular dipolar compound, whereupon the wafers are brought into contact with each other. The bonding of the wafers then takes place by means of microwave irradiation.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: October 12, 2010
    Inventor: Erich Thallner
  • Patent number: 7811899
    Abstract: A supporting substrate is laminated on a wafer in such a manner that the supporting substrate locked in peripheral edges with a plurality of locking claws is disposed in proximity to and facing to an adhering surface of a double-sided adhesive sheet on the workpiece, the supporting substrate is pressed by a pressing member made of an approximately hemispherical elastic body from an approximate center of a non-adhering surface of this supporting substrate, the supporting substrate is laminated by elastically deforming this pressing member on the wafer while making the supporting substrate surface contact in a flat condition.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: October 12, 2010
    Assignee: Nitto Denko Corporation
    Inventors: Masayuki Yamamoto, Yukitoshi Hase
  • Patent number: 7811900
    Abstract: A photovoltaic cell device, e.g., solar cell, solar panel, and method of manufacture. The device has an optically transparent substrate comprises a first surface and a second surface. A first thickness of material (e.g., semiconductor material, single crystal material) having a first surface region and a second surface region is included. In a preferred embodiment, the surface region is overlying the first surface of the optically transparent substrate. The device has an optical coupling material provided between the first surface region of the thickness of material and the first surface of the optically transparent material.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: October 12, 2010
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 7811901
    Abstract: A method for fabricating a silicon on substrate structure having smooth edge regions. The method includes providing a silicon donor substrate having a surface region and a backside region. A substrate thickness is provided between the surface region and the backside region. The method includes co-implanting a plurality of first particles through the surface region into a vicinity of a cleave region and a plurality of second particles through the surface region into the vicinity of the cleave region. The cleave region defines a thickness of material to be removed between the cleave region and the surface region. The surface region of the silicon donor substrate is joint to a handle substrate to form a coupled substrate structure. The coupled substrate structure is then processed using a thermal treatment process and placed into a cleaving chamber.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: October 12, 2010
    Assignee: Silicon Genesis Corporation
    Inventors: Philip James Ong, Harry Kirk, James Andrew Sullivan
  • Patent number: 7811902
    Abstract: A method for manufacturing a nitride based single crystal substrate and a method for manufacturing a nitride based light emitting diode using the same. The method for manufacturing the nitride based single crystal substrate includes forming a ZnO layer on a base substrate; forming a low-temperature nitride buffer layer on the ZnO layer using dimethyl hydragine (DMHy) as an N source; growing a nitride single crystal on the low-temperature nitride buffer layer; and separating the nitride single crystal from the base substrate by chemically eliminating the ZnO layer.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: October 12, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventor: Dong Joon Kim
  • Patent number: 7811903
    Abstract: Methods for thinning a bumped semiconductor wafer, as well as methods for producing flip-chips of very thin profiles, are disclosed. According to the methods of the present invention, a mold compound is interspersed between conductive bumps on the active face of a wafer to provide support and protection for the wafer structure both during and after a process of removing the wafer's inactive back side silicon surface. The mold compound also serves to preserve the integrity of the conductively bumped aspects of the wafer during subsequent processing and may, after the wafer is diced, act as all or part of an underfill material for flip-chip applications.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: October 12, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Ford B. Grigg, Timothy L. Jackson
  • Patent number: 7811904
    Abstract: A method of fabricating a semiconductor device employing electroless plating including wafer backside protection during wet processing is disclosed. The method includes the steps of laminating a wafer back side and a frame with a protective tape, applying a protective coating to a peripheral portion of the wafer and an adjoining exposed area of the protective tape, the protective coating, protective tape, and wafer forming a protected wafer assembly, curing the frame-supported protective coating, cutting the protected wafer assembly from the protective tape surrounding the protective coating, wet processing the protected wafer assembly, laminating the protected wafer assembly with a second tape, dicing the wafer, and picking up the die from the protective tape.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: October 12, 2010
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Tao Feng, Ming Sun, Yueh-Se Ho, Kai Liu
  • Patent number: 7811905
    Abstract: A nonvolatile memory device and a method for its fabrication may ensure uniform operating characteristics of ReRAM. The ReRam may include a laminated resistance layer that determines phase of ReRAM on an upper edge of a lower electrode for obtaining a stable threshold drive voltage level.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Hoon Kim
  • Patent number: 7811906
    Abstract: An in-place bonding method in which a metal template layer under a carbon layer is removed while the carbon layer is still attached to a substrate is described for forming a carbon-on-insulator substrate. In one embodiment of the in-place bonding method, at least one layered metal/carbon (M/C) region is formed on an insulating surface layer of an initial substrate structure. The at least one layered M/C region has edges that are bordered by exposed regions of the insulating surface layer. Some edges of the at least one layered M/C region are then secured to a base substrate of the initial structure via a securing structure, while other edges are left exposed. A selective metal etchant removes the metal layer under the carbon layer using the exposed edges for access. After metal etching, the now-unsupported carbon layer bonds to the underlying insulating surface layer by attraction.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ageeth A. Bol, Jack O. Chu, Alfred Grill, Conal E. Murray, Katherine L. Saenger
  • Patent number: 7811907
    Abstract: A method for manufacturing a semiconductor device includes steps of: forming a trench on a main surface of a silicon substrate; forming a first epitaxial film on the main surface and in the trench; and forming a second epitaxial film on the first epitaxial film. The step of forming the first epitaxial film has a first process condition with a first growth rate of the first epitaxial film. The step of forming the second epitaxial film has a second process condition with a second growth rate of the second epitaxial film. The second growth rate is larger than the first growth rate.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: October 12, 2010
    Assignees: DENSO CORPORATION, Sumco Corporation
    Inventors: Takumi Shibata, Shoichi Yamauchi, Tomonori Yamaoka, Syouji Nogami
  • Patent number: 7811908
    Abstract: Affords a method of storing GaN substrates from which semiconductor devices of favorable properties can be manufactured, the stored substrates, and semiconductor devices and methods of manufacturing the semiconductor devices. In the GaN substrate storing method, a GaN substrate (1) is stored in an atmosphere having an oxygen concentration of 18 vol. % or less, and/or a water-vapor concentration of 12 g/m3 or less. Surface roughness Ra of a first principal face on, and roughness Ra of a second principal face on, the GaN substrate stored by the storing method are brought to no more than 20 nm and to no more than 20 ?m, respectively. In addition, the GaN substrates are rendered such that the principal faces form an off-axis angle with the (0001) plane of from 0.05° to 2° in the <1 100> direction, and from 0° to 1° in the <11 20> direction.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: October 12, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hideyuki Ijiri, Seiji Nakahata
  • Patent number: 7811909
    Abstract: The invention has for its object to provide a process of synthesizing high-purity hBN crystal bodies on a robust substrate even under normal pressure. The inventive process of producing hexagonal boron nitride crystal bodies is characterized by comprising a preparation step of preparing a mixture of a boron nitride raw material and a metal solvent comprising a transition metal, a contact step of bringing a sapphire substrate in contact with the mixture, a heating step of heating the mixture, and a recrystallization step of recrystallizing at normal pressure a melt obtained in the heating step. It is also characterized by using as the metal solvent a transition metal selected from the group consisting of Fe, Ni, Co, and a combination thereof, and at least one substance selected from the group consisting of Cr, TiN and V without recourse to any sapphire substrate.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: October 12, 2010
    Assignee: National Institute for Materials Science
    Inventors: Takashi Taniguchi, Kenji Watanabe, Yoichi Kubota, Osamu Tsuda
  • Patent number: 7811910
    Abstract: In crystallization of a silicon film by annealing using a linear-shaped laser beam having a width of the short axis of the beam is ununiform, the profile (intensity distribution) of the laser beam is evaluated and the results are fed back to a condition of oscillating the laser beam or an optical condition for projecting the laser beam onto the silicon film, whereby a display device comprising a high-quality crystalline silicon film is manufactured.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: October 12, 2010
    Assignee: Hitachi Displays, Ltd.
    Inventors: Mikio Hongo, Akio Yazaki, Takahiro Kamo
  • Patent number: 7811911
    Abstract: A layer including a semiconductor film is formed over a glass substrate and is heated. A thermal expansion coefficient of the glass substrate is greater than 6×10?7/° C. and less than or equal to 38×10?7/° C. The heated layer including the semiconductor film is irradiated with a pulsed ultraviolet laser beam having a width of less than or equal to 100 ?m, a ratio of width to length of 1:500 or more, and a full width at half maximum of the laser beam profile of less than or equal to 50 ?m, so that a crystalline semiconductor film is formed. As the layer including the semiconductor film formed over the glass substrate, a layer whose total stress after heating is ?500 N/m to +50 N/m, inclusive is formed.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: October 12, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akihisa Shimomura, Hidekazu Miyairi, Yasuhiro Jinbo
  • Patent number: 7811912
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first insulation layer on a substrate; forming a damascene pattern in the first insulation layer; conducting a first process for forming metal lines in the damascene pattern; conducting a second process for forming a second insulation layer, having compressive stress greater than tensile stress of the metal lines, on the damascene pattern including the metal lines; forming a passivation layer on the substrate after multi-layered metal lines are formed by the first and second processes; and conducting an annealing process for the substrate including the passivation layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Geun Jang
  • Patent number: 7811913
    Abstract: A method of fabricating a low, dark-current germanium-on-silicon PIN photo detector includes preparing a P-type silicon wafer; implanting the P-type silicon wafer with boron ions; activating the boron ions to form a P+ region on the silicon wafer; forming a boron-doped germanium layer on the P+ silicon surface; depositing an intrinsic germanium layer on the boron-doped germanium layer; cyclic annealing, including a relatively high temperature first anneal step and a relatively low temperature second anneal step; repeating the first and second anneal steps for about twenty cycles, thereby forcing crystal defects to the P+ germanium layer; implanting ions in the surface of germanium layer to form an N+ germanium surface layer and a PIN diode; activating the N+ germanium surface layer by thermal anneal; and completing device according to known techniques to form a low dark-current germanium-on-silicon PIN photodetector.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: October 12, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jong-Jan Lee, Douglas J. Tweet, Jer-Shen Maa, Sheng Teng Hsu
  • Patent number: 7811914
    Abstract: An apparatus and method is disclosed for increasing the thermal conductivity in a substrate of a non-wide bandgap material comprising the steps of directing a thermal energy beam onto the substrate in the presence of a first doping gas for converting a region of the substrate into a wide bandgap material to enhance the thermal conductivity of the substrate for cooling the non-wide bandgap material. In one example, the invention is incorporated into a carbon rich layer formed within the wide bandgap material. In another example, the invention is incorporated into a carbon rich layer formed within the wide bandgap material having basal planes disposed to extend generally outwardly relative to an external surface of the substrate to enhance the cooling of the substrate.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: October 12, 2010
    Inventors: Nathaniel R. Quick, Aravinda Kar
  • Patent number: 7811915
    Abstract: A method for forming a semiconductor device includes forming a first dielectric layer over a first portion of a substrate, forming a charge storage layer over the first dielectric layer and etching a trench in the charge storage layer and the first dielectric layer, where the trench extends to the substrate. The method also includes implanting n-type impurities into the substrate to form an n-type region having a first depth and a first width and implanting p-type impurities into the substrate after implanting the n-type impurities, the p-type impurities forming a p-type region having a second depth and a second width. The method further includes forming a second dielectric layer over the charge storage layer and forming a control gate over the second dielectric layer.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: October 12, 2010
    Assignee: Spansion LLC
    Inventors: Weidong Qian, Mark T. Ramsbey, Tazrien Kamal
  • Patent number: 7811916
    Abstract: A method is described for isotropic or nearly isotropic shallow doping of a non-planar surface exposed in a void. The results of ion implantation, a common doping method, are inherently planar. Some fabrication methods and devices may require doping a surface of a non-planar feature exposed in a void, such as a trench. The feature is doped by flowing a gas which will provide the dopant over the exposed surfaces, or by exposing the surfaces to a plasma including the dopant. The feature may be a patterned feature, including a top surface and a sidewall. In a preferred embodiment, a semiconductor feature having a top surface and a sidewall is exposed in a trench formed in a dielectric, and a gas providing a p-type or n-type dopant is flowed in the trench, providing a p-type or n-type dopant to the semiconductor.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: October 12, 2010
    Assignee: SanDisk 3D LLC
    Inventor: S. Brad Herner
  • Patent number: 7811917
    Abstract: Systems and methods are provided for maintaining performance of an integrated circuit at a reduced power. The systems and methods employ a performance monitor that generates a signal indicative of at least one performance characteristic of at least a portion of a critical path associated with the integrated circuit. The system further comprises a supply control that adjusts a supply voltage of the integrated circuit to maintain performance at a reduced power based on the signal. A temperature adjustment component can be provided to adjust the signal to compensate for temperature offsets associated with performance of the performance monitor relative to performance of the critical path over different operating temperatures. A performance measurement of the performance monitor can be determined based on the concurrent triggering of the performance monitor and the critical path.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: October 12, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Andrew Marshall
  • Patent number: 7811918
    Abstract: A conformal metallic layer is applied to a selected region of a substrate by forming a pattern of electrically conductive lines on the substrate, placing a bead of a selected metal on the substrate at an edge of the region selected for coating, and passing an electric current through the bead and through conductive lines that extend over the region of the substrate selected for coating with the electric current having a current density sufficient to melt the bead so that metallic material therefrom flows over the conductive lines to form the coating. A pair of electrically conductive connectors is placed in contact with the electrically conductive lines, and an electric power supply is connected to the pair of electrically conductive connectors such that electric current passes through the bead, melts the bead to form a liquid metal, and carries the liquid metal in a continuous stream along the conductive lines, coating the conductive lines conformally in the process.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: October 12, 2010
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventor: Indranath Dutta
  • Patent number: 7811919
    Abstract: Methods for fabricating a back-end-of-line (BEOL) wiring structure that includes an on-chip inductor and an on-chip capacitor, as well as methods for tuning and fabricating a resonator that includes the on-chip inductor and on-chip capacitor. The fabrication methods generally include forming the on-chip capacitor and on-chip inductor in different metallization levels of the BEOL wiring structure and laterally positioned to be substantially vertical alignment. The on-chip capacitor may serve as a Faraday shield for the on-chip inductor. Optionally, a Faraday shield may be fabricated either between the on-chip capacitor and the on-chip inductor, or between the on-chip capacitor and the substrate. The BEOL wiring structure may include at least one floating electrode capable of being selectively coupled with the directly-connected electrodes of the on-chip capacitor for tuning, during circuit operation, a resonance frequency of an LC resonator that further includes the on-chip inductor.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Douglas M. Daley, Mete Erturk, Edward J. Gordon
  • Patent number: 7811920
    Abstract: The layout density of the through electrodes in the horizontal plane of the substrate is enhanced. Through holes 103 extending through the silicon substrate 101 is provided. An insulating film 105 is buried within the through hole 103. A plurality of columnar through plugs 107 are provided in the insulating film 105.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: October 12, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Satoshi Matsui
  • Patent number: 7811921
    Abstract: A semiconductor device having a trench in the side portion of a conducting line pattern and methods of forming the same. The semiconductor device provides a way of preventing an electrical short between the conducting line pattern and a landing pad adjacent to the conducting line pattern. There are disposed two conducting line patterns on a semiconductor substrate. Each of the conducting line patterns includes a conducting line and a conducting line capping layer pattern stacked thereon. Each of the conducting line patterns has a trench between the conducting line capping layer pattern and the conducting line. Conducting line spacers are formed between the conducting line patterns. One conducting line spacer covers a portion of a sidewall of one of the conducting line patterns, and the remaining conducting line spacer covers an entire sidewall of the remaining conducting line pattern. A landing pad is disposed between the conducting line patterns.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: October 12, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyeon Nam, Seung-Kun Lee, Joong-Sup Choi, Chang-Moon Ahn, Wi-Seob Kang
  • Patent number: 7811922
    Abstract: A method for manufacturing a semiconductor device includes: preparing a wiring board having a base substrate and wiring that is plated on surface with a plating metal; pressing a bump that is formed on the active side of the semiconductor chip against an end part of the wiring of the wiring board, thereby exfoliating the area surrounding the pressed portion of the wiring from the base substrate while keeping the end of the wiring bonded with the base substrate; melting the plating metal that is located on the end part of the wiring, thereby causing the plating metal and the bump to form an alloy that bonds the bump and the wiring and infiltrate the plating metal into a space between the wiring and the base substrate; and judging that the bump and the wiring are well bonded if the plating metal has infiltrated a space between the wiring and the base substrate so as to have an area, width or length of infiltration that exceeds a reference value.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: October 12, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Shigehisa Tajimi
  • Patent number: 7811923
    Abstract: The present disclosure relates to an integrated wafer processing apparatus for fabricating semiconductor chips. This integrated wafer processing system combines the lithography patterning steps and irradiation curing steps of the patternable dielectric into one system. The patternable low-k material of the present disclosure also functions as a photoresist, i.e. is a photo-patternable low-k dielectric material.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Qinghuang Lin, Sampath Purushothaman, Robert Wisnieff
  • Patent number: 7811924
    Abstract: Methods for patterning films and their resulting structures. In an embodiment, an amorphous carbon mask is formed over a substrate, such as a damascene layer. A spacer layer is deposited over the amorphous carbon mask and the spacer layer is etched to form a spacer and to expose the amorphous carbon mask. The amorphous carbon mask is removed selectively to the spacer to expose the substrate layer. A gap fill layer is deposited around the spacer to cover the substrate layer but expose the spacer. The spacer is removed selectively to form a gap fill mask over the substrate. The pattern of the gap fill mask is transferred, in one implementation, into a damascene layer to remove at least a portion of an IMD and form an air gap.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: October 12, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Mehul Naik, Christopher D. Bencher, Kenneth MacWilliams
  • Patent number: 7811925
    Abstract: Methods of forming a capping layer on conductive lines in a semiconductor device may be characterized by the following operations: (a) providing a semiconductor substrate comprising a dielectric layer having (i) exposed conductive lines (e.g., copper lines) disposed therein, and (ii) an exposed barrier layer disposed thereon; and (b) depositing a capping layer material on at least the exposed conductive lines of the semiconductor substrate. In certain embodiments, the method may also involve removing at least a portion of a conductive layer (e.g., overburden) disposed over the barrier layer and conductive lines to expose the barrier layer.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: October 12, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Reid, Eric G. Webb, Edmund B. Minshall, Avishai Kepten, R. Marshall Stowell, Steven T. Mayer
  • Patent number: 7811926
    Abstract: Interconnect structures possessing an organosilicate glass based material for 90 nm and beyond BEOL technologies in which a multilayer hardmask using a line-first approach are described. The interconnect structure of the invention achieves respective improved device/interconnect performance and affords a substantial dual damascene process window owing to the non-exposure of the OSG material to resist removal plasmas and because of the alternating inorganic/organic multilayer hardmask stack. The latter feature implies that for every inorganic layer that is being etched during a specific etch step, the corresponding pattern transfer layer in the field is organic and vice-versa.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. M. Fuller, Stephen McConnell Gates, Timothy J. Dalton
  • Patent number: 7811927
    Abstract: A method of manufacturing a metal line according to embodiments includes forming an interlayer dielectric layer over a semiconductor substrate. A dielectric layer is formed over the interlayer dielectric layer. A trench may be formed by etching the dielectric layer and the interlayer dielectric layer. A metal material may be disposed over the interlayer dielectric layer including the trench. A first planarization process may be performed on the metal material using the dielectric layer as an etch stop layer. A wet etch process may be performed on the semiconductor substrate subjected the first planarization process. A second planarization process may be performed on interlayer dielectric layer subjected to the wet etch process.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: October 12, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Myung-Il Kang
  • Patent number: 7811928
    Abstract: Semiconductor devices and methods of fabricating semiconductor devices are disclosed. A disclosed semiconductor device includes a silicon substrate, a source region and a drain region. A gate electrode is formed on the silicon substrate. Also, a metal silicide layer is formed on each of the gate electrode, the source region, and the drain region. The metal silicide layer has a thickness uniformity of about 1˜20%. A disclosed fabrication method includes forming a metal layer on a silicon substrate having a gate electrode, a source region, and a drain region; performing a plasma treatment on the metal layer; forming a protective layer on the metal layer; and heat treating the silicon substrate on which the protective layer is formed to thereby form a metal silicide layer. A gas that includes nitrogen is used as a plasma gas during the plasma treatment.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: October 12, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Han-Choon Lee, Jin-Woo Park
  • Patent number: 7811929
    Abstract: A method for forming a dual damascene pattern includes preparing a multi-functional hard mask composition including a silicon resin as a base resin; forming a deposition structure including a self-arrangement contact insulation film, a first dielectric film, an etching barrier film, and a second dielectric film over a hardwiring layer; etching the deposition structure to expose the hardwiring layer, thereby forming a via hole; forming the multi-functional hard mask composition on the second dielectric film and in the via hole to form a multi-functional hard mask film; and etching the resulting structure to expose a part of the first dielectric film, thereby forming a trench having a width wider than that of the via hole; and removing the multi-functional hard mask film.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Ki Lyoung Lee, Jung Gun Heo
  • Patent number: 7811930
    Abstract: A manufacturing method of a dual damascene structure is provided. First, a first dielectric layer, a second dielectric layer, and a mask layer are formed. A first trench structure is formed in the mask layer. A via structure is formed in the mask layer, the second dielectric layer, and the first dielectric layer. A portion of the second dielectric layer is then removed, so as to transform the first trench structure into a second trench structure. Here, a bottom of the second trench structure exposes the first dielectric layer.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: October 12, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jung Wang
  • Patent number: 7811931
    Abstract: A semiconductor device has a plurality of interconnect layers each including a plurality of interconnect lines. The semiconductor device includes a dielectric film (HDP film) formed by means of high density plasma-enhanced CVD and including an edge formed on the side surface of the topmost-layer interconnect lines, a silicon oxide film formed by modifying a SOG film on the HDP film between adjacent two of the topmost-layer interconnect lines in the element forming region, and a passivation film formed to cover the HDP film and the topmost-layer interconnect lines.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: October 12, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Masateru Ando
  • Patent number: 7811932
    Abstract: A die-on-die assembly has a first die (10) and a second die (50). The first die (10) has a first contact extension (28,42) and a peg (32,44,45) extending a first height above the first die. The second die (50) has a second contact extension (68) connected to the first contact extension and has a containing feature (62) extending a second height above the second die surrounding the peg. The peg extends past the containing feature. Because the peg extends past the containing feature, lateral movement between the first and second die can cause the peg to come in contact with and be constrained by the containing feature. The peg and containing feature are thus useful in constraining movement between the first and second die.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Ritwik Chatterjee
  • Patent number: 7811933
    Abstract: Programmable via devices and methods for the fabrication thereof are provided.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventor: Kuan-Neng Chen
  • Patent number: 7811934
    Abstract: Provided are a method of manufacturing nanoelectrode lines. The method includes the steps of: sequentially forming an insulating layer, a first photoresist layer, and a drop-shaped second photoresist on a substrate; disposing an imprint mold having a plurality of molding patterns over the second photoresist; applying pressure to the mold to allow the second photoresist to flow into the mold patterns; irradiating ultraviolet (UV) light onto the mold to cure the second photoresist; removing the mold from the cured second photoresist and patterning the second photoresist; patterning the first photoresist layer using the patterned second photoresist as a mask; patterning the insulating layer; and forming a metal layer between the patterned insulating layers. In this method, metal electrode lines are formed between insulating layers using an imprint lithography process, so that nanoelectronic devices can be freed from crosstalk between the metal electrode lines.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: October 12, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Mi Hee Jeong, Hyo Young Lee, Nak Jin Choi, Kang Ho Park