Patents Issued in May 14, 2013
-
Patent number: 8440518Abstract: A manufacturing method of a semiconductor element from a pattern formed body capable of attaining patterning efficiently with a high precision. The method includes a photoresist pattern formation step, a hydrophilicity imparting step and a photoresist pattern peeling step.Type: GrantFiled: March 25, 2011Date of Patent: May 14, 2013Assignee: Dai Nippon Printing Co., Ltd.Inventors: Kenichi Ogawa, Tomomi Suzuki, Masataka Kano
-
Patent number: 8440519Abstract: An improved semiconductor device manufactured using, for example, replacement gate technologies. The method includes forming a dummy gate structure having a gate stack and spacers. The method further includes forming a dielectric material adjacent to the dummy gate structure. The method further includes removing the spacers to form gaps, and implanting a halo extension through the gaps and into an underlying diffusion region.Type: GrantFiled: May 12, 2010Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin
-
Patent number: 8440520Abstract: Method of forming a semiconductor device includes providing a substrate with defined NMOS and PMOS device regions and an interface layer on the NMOS and PMOS device regions, depositing a high-k film on the interface layer, depositing a first cap layer on the high-k film, and removing the first cap layer from the high-k film in the PMOS device region. The method further includes depositing a second cap layer on the first cap layer in the NMOS device region and on the high-k film in the PMOS device region, performing a heat-treating process to diffuse a first chemical element into the high-k film in the NMOS device region and to reduce or eliminate the interface layer by oxygen diffusion from the interface layer into the second cap layer, removing the first and second cap layers from the high-k film, and depositing a gate electrode film over the high-k film.Type: GrantFiled: August 23, 2011Date of Patent: May 14, 2013Assignee: Tokyo Electron LimitedInventor: Robert D Clark
-
Patent number: 8440521Abstract: A method of manufacturing a semiconductor device having a p-type field effect transistor and an n-type field effect transistor includes the steps of: forming an interface insulating layer and a high-permittivity layer on a substrate in the stated order; forming a pattern of a sacrifice layer on the high-permittivity layer; forming a metal-containing film containing metal elements therein on the high-permittivity layer in a first region where the sacrifice layer is formed and a second region where no sacrifice layer is formed; introducing the metal elements into an interface between the interface insulating layer and the high-permittivity layer in the second region by conducting a heat treatment; and removing the sacrifice layer by wet etching, wherein in the removing step, the sacrifice layer is etched easily more than the high-permittivity layer. With this configuration, the semiconductor device excellent in reliability is obtained.Type: GrantFiled: June 27, 2011Date of Patent: May 14, 2013Assignee: Renesas Electronics CorporationInventors: Naomi Fukumaki, Eiji Hasegawa, Toshihiro Iizuka, Ichiro Yamamoto
-
Patent number: 8440522Abstract: A method for increasing an electrical resistance of a resistor that is within a semiconductor structure. A fraction of a surface layer of the resistor is oxidized with oxygen particles. In an embodiment, the fraction of the surface layer is heated by a beam of particles, such that the semiconductor structure is within a chamber that includes the oxygen particles as gaseous oxygen-comprising molecules. In an embodiment, the semiconductor structure is immersed in a chemical solution which includes the oxygen particles, wherein the oxygen particles includes oxygen-comprising liquid molecules, oxygen ions, or an oxygen-comprising gas dissolved in the chemical solution under pressurization. In an embodiment, the resistor is tested to determine whether the electrical resistance of the resistor after being oxidized with the oxygen particles is within a tolerance of a predetermined target resistance.Type: GrantFiled: January 3, 2008Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Arne W. Ballantine, Daniel C. Edelstein, Anthony K. Stamper
-
Patent number: 8440523Abstract: A method is disclosed to fabricate an electro-mechanical device such as a MEMS or NEMS switch. The method includes providing a structure composed of a silicon layer disposed over an insulating layer that is disposed on a silicon substrate. The silicon layer is differentiated into a partially released region that will function as a portion of the electro-mechanical device. The method further includes forming a dielectric layer over the silicon layer; forming a hardmask over the dielectric layer, the hardmask being composed of hafnium oxide; opening a window to expose the partially released region; and fully releasing the partially released region using a dry etching process to remove the insulating layer disposed beneath the partially released region while using the hardmask to protect material covered by the hardmask. The step of fully releasing can be performed using a HF vapor.Type: GrantFiled: December 7, 2011Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Michael A Guillorn, Fei Liu, Ying Zhang
-
Patent number: 8440524Abstract: A method for manufacturing a semiconductor device including a semiconductor substrate composed of silicon carbide, an upper surface electrode which contacts an upper surface of the substrate, and a lower surface electrode which contacts a lower surface of the substrate, the method including steps of: (a) forming an upper surface structure on the upper surface side of the substrate, and (b) forming a lower surface structure on the lower surface side of the substrate. The step (a) comprises steps of: (a1) depositing an upper surface electrode material layer on the upper surface of the substrate, the upper surface electrode material layer being a raw material layer of the upper surface electrode, and (a2) annealing the upper surface electrode material layer.Type: GrantFiled: February 18, 2011Date of Patent: May 14, 2013Assignees: Toyota Jidosha Kabushiki Kaisha, Denso CorporationInventors: Hirokazu Fujiwara, Masaki Konishi, Jun Kawai, Takeo Yamamoto, Takeshi Endo, Takashi Katsuno, Yukihiko Watanabe, Narumasa Soejima
-
Patent number: 8440525Abstract: Methods for etching metal nitrides and metal oxides include using ultradilute HF solutions and buffered, low-pH HF solutions containing a minimal amount of the hydrofluoric acid species H2F2. The etchant can be used to selectively remove metal nitride layers relative to doped or undoped oxides, tungsten, polysilicon, and titanium nitride. A method is provided for producing an isolated capacitor, which can be used in a dynamic random access memory cell array, on a substrate using sacrificial layers selectively removed to expose outer surfaces of the bottom electrode.Type: GrantFiled: April 30, 2012Date of Patent: May 14, 2013Assignee: Micron Technology, Inc.Inventor: Kevin R. Shea
-
Patent number: 8440526Abstract: A method of fabricating a memory is provided. A substrate including a memory region and a periphery region is provided. A plurality of first gates is formed in the memory region and a plurality of first openings is formed between the first gates. A nitride layer is formed on the substrate in the memory region, and the nitride layer covers the first gates and the first openings. An oxide layer is formed on the substrate in the periphery region. A nitridization process is performed to nitridize the oxide layer into a nitridized oxide layer. A conductive layer is formed on the substrate, and the conductive layer includes a cover layer disposed on the substrate in the memory region and a plurality of second gates disposed on the substrate in the periphery region. The cover layer covers the nitride layer and fills the first openings.Type: GrantFiled: September 23, 2011Date of Patent: May 14, 2013Assignee: Winbound Electronics Corp.Inventors: Hsiu-Han Liao, Lu-Ping Chiang
-
Patent number: 8440527Abstract: A memory device and a method of fabricating the same are provided. The memory device includes a tunneling dielectric layer on a substrate, a charge storage layer on the tunneling dielectric layer, a blocking dielectric layer on the charge storage layer, the blocking dielectric layer including a first dielectric layer having silicon oxide, a second dielectric layer on the first dielectric layer and having aluminum silicate, and a third dielectric layer formed on the second dielectric layer and having aluminum oxide, and an upper electrode on the blocking dielectric layer.Type: GrantFiled: March 5, 2010Date of Patent: May 14, 2013Assignee: Samsung Electronics Co., Ltd.Inventors: Dong-Chul Yoo, Eun-Ha Lee, Hyung-Ik Lee, Ki-Hyun Hwang, Sung Heo, Han-Mei Choi, Yong-Koo Kyoung, Byong-Ju Kim
-
Patent number: 8440528Abstract: A nonvolatile semiconductor memory device includes: forming a stacked body by alternately stacking a plurality of interlayer insulating films and a plurality of control gate electrodes; forming a through-hole extending in a stacking direction in the stacked body; etching a portion of the interlayer insulating film facing the through-hole via the through-hole to remove the portion; forming a removed portion; forming a first insulating film on inner faces of the through-hole and the portion in which the interlayer insulating films are removed; forming a floating gate electrode in the portion in which the interlayer insulating films are removed; forming a second insulating film so as to cover a portion of the floating gate electrode facing the through-hole; and burying a semiconductor pillar in the through-hole.Type: GrantFiled: December 15, 2009Date of Patent: May 14, 2013Assignee: Kabushiki Kaisha ToshibaInventors: Masaru Kito, Masaru Kidoh, Tomoko Fujiwara, Yosuke Komori, Megumi Ishiduki, Hiroyasu Tanaka, Yoshiaki Fukuzumi, Ryota Katsumata, Ryouhei Kirisawa, Junya Matsunami, Hideaki Aochi
-
Patent number: 8440529Abstract: The present invention discloses a method of manufacturing superjunction structure, which comprises: step 1, grow an N type epitaxial layer on a substrate having a (100) or (110) oriented surface; step 2, etch the N type epitaxial layer to form trenches therein; step 3, fill the trenches by P type epitaxial growth in the trenches by using a mixture of silicon source gas, halide gas, hydrogen gas, and doping gas. By using the manufacturing method according to the present invention, no void or only small voids are formed in the trenches after trench filling.Type: GrantFiled: March 29, 2011Date of Patent: May 14, 2013Assignee: Shanghai Hua Hong NEC Electronics Co., Ltd.Inventors: Jiquan Liu, Xuan Xie
-
Patent number: 8440530Abstract: In one example, a method disclosed herein includes the steps of forming a first liner layer above a substrate and above gate structures for both a PMOS transistor and an NMOS transistor, and, after forming extension implant regions and halo implant regions, forming a first spacer proximate the gate structures of both the PMOS and NMOS transistors, forming deep source/drain implant regions in the substrate for the PMOS and NMOS transistors, removing the first spacer and, after removing the first spacer, forming a layer of material between the adjacent gate structures, wherein the layer of material occupies at least the space formerly occupied by the first spacer.Type: GrantFiled: October 18, 2011Date of Patent: May 14, 2013Assignee: GLOBALFOUNDRIES Inc.Inventors: Jan Hoentschel, Stefan Flachowsky, Shiang Yang Ong
-
Patent number: 8440531Abstract: Methods of forming vertical nonvolatile memory devices utilize carbon-blocking sacrificial capping layers to increase device yield by reducing the likelihood that one or more vertically-stacked layers of materials will lift-off during fabrication. These capping layers may be provided to cover carbon-containing sacrificial layers that are highly polymerized.Type: GrantFiled: April 17, 2012Date of Patent: May 14, 2013Assignee: Samsung Electronics Co., Ltd.Inventors: Tae-Jong Han, Daewoong Kim, Kyung-Tae Jang, Bongcheol Kim, Ohchel Kwon
-
Patent number: 8440532Abstract: In one embodiment, a method of providing a semiconductor device is provided, in which instead of forming isolation regions before the formation of the semiconductor devices, the isolation regions are formed after the semiconductor devices. In one embodiment, the method includes forming a semiconductor device on a semiconductor substrate. A placeholder dielectric is formed on a portion of a first surface of the substrate adjacent to the semiconductor device. A trench is etched into the substrate from a second surface of the substrate that is opposite the first surface of the substrate, wherein the trench terminates on the placeholder dielectric. The trench is filled with a dielectric material.Type: GrantFiled: July 27, 2010Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Huilong Zhu, Qingqing Liang, Zhijiong Luo, Haizhou Yin
-
Patent number: 8440533Abstract: A high-K/metal gate semiconductor device is provided with larger self-aligned contacts having reduced resistance. Embodiments include forming a first high-k metal gate stack on a substrate between source/drain regions, a second high-k metal gate stack on an STI region, and a first ILD between the metal gate stacks, forming an etch stop layer and a second ILD sequentially over the substrate, with openings in the second ILD over the metal gate stacks, forming spacers on the edges of the openings, forming a third ILD over the second ILD and the spacers, removing the first ILD over the source/drain regions, removing the etch stop layer, the second ILD, and the third ILD over the source/drain regions, adjacent the spacers, and over a portion of the spacers, forming first trenches, removing the third ILD over the second high-k metal gate stack and over a portion of the spacers, forming second trenches, and forming contacts in the first and second trenches.Type: GrantFiled: March 4, 2011Date of Patent: May 14, 2013Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.Inventors: Eng Huat Toh, Elgin Quek
-
Patent number: 8440534Abstract: Different threshold voltages of transistors of the same conductivity type in a complex integrated circuit may be adjusted on the basis of different Miller capacitances, which may be accomplished by appropriately adapting a spacer width and/or performing a tilted extension implantation. Thus, efficient process strategies may be available to controllably adjust the Miller capacitance, thereby providing enhanced transistor performance of low threshold transistors while not unduly contributing to process complexity compared to conventional approaches in which threshold voltage values may be adjusted on the basis of complex halo and well doping regimes.Type: GrantFiled: May 10, 2011Date of Patent: May 14, 2013Assignee: Advanced Micro Devices, Inc.Inventors: Uwe Griebenow, Jan Hoentschel, Kai Frohberg, Heike Berthold, Katrin Reiche, Frank Feustel, Kerstin Ruttloff
-
Patent number: 8440535Abstract: A phase change memory may include an ovonic threshold switch formed over an cyanic memory. In one embodiment, the switch includes a chalcogenide layer that overlaps an underlying electrode. Then, edge damage, due to etching the chalcogenide layer, may be isolated to reduce leakage current.Type: GrantFiled: May 3, 2012Date of Patent: May 14, 2013Assignee: Ovonyx, Inc.Inventor: Charles H. Dennison
-
Patent number: 8440536Abstract: A method for forming a vertical channel transistor in a semiconductor memory device includes: forming a plurality of pillars over a substrate so that the plurality of pillars are arranged in a first direction and a second direction crossing the first direction, and so that each of the pillars has a hard mask pattern thereon; forming an insulation layer to fill a regions between the pillars; forming a mask pattern over a resultant structure including the insulation layer, wherein the mask pattern has openings exposing gaps between each two adjacent pillars in the first direction; etching the insulation layer to a predetermined depth using the mask pattern as an etching barrier to form trenches; and filling the trenches with a conductive material to form word lines extending in the first direction.Type: GrantFiled: June 30, 2011Date of Patent: May 14, 2013Assignee: Hynix Semiconductor Inc.Inventor: Jin-Ki Jung
-
Patent number: 8440537Abstract: A method for doping a dielectric material by pulsing a first dopant precursor, purging the non-adsorbed precursor, pulsing a second precursor, purging the non-adsorbed precursor, and pulsing a oxidant to form an intermixed layer of two (or more) metal oxide dielectric dopant materials. The method may also be used to form a blocking layer between a bulk dielectric layer and a second electrode layer. The method improves the control of the composition and the control of the uniformity of the dopants throughout the thickness of the doped dielectric material.Type: GrantFiled: November 11, 2011Date of Patent: May 14, 2013Assignees: Intermolecular, Inc., Elpida Memory, Inc.Inventors: Sandra Malhotra, Hanhong Chen, Wim Deweerd, Toshiyuki Hirota, Hiroyuki Ode
-
Patent number: 8440538Abstract: In making an airbridge structure, a second resist layer is applied over a first resist layer. The resist layers are exposed and developed to have a predetermined width W2. A third resist layer is applied. The third resist layer is also exposed and developed to have a predetermined width W3. An airbridge-forming material layer is applied to the layer stack structure consisting of the first, second, and third resist layers, forming an airbridge. The resist layers are removed, completing the manufacture of the airbridge, which has a stepped cross section.Type: GrantFiled: April 19, 2011Date of Patent: May 14, 2013Assignee: Mitsubishi Electric CorporationInventors: Naoki Kosaka, Ko Kanaya, Yoshihiro Tsukahara
-
Patent number: 8440539Abstract: A semiconductor fabrication process includes forming a hard mask, e.g., silicon nitride, over an active layer of a silicon on insulator (SOI) wafer, removing a portion of the hard mask and the active layer to form a trench, and forming an isolation dielectric in the trench where the dielectric exerts compressive strain on a channel region of the active layer. Forming the dielectric may include performing a thermal oxidation. Before performing the thermal oxidation, semiconductor structures may be formed, e.g., by epitaxy, on sidewalls of the trench. The structures may be silicon or a silicon compound, e.g., silicon germanium. During the thermal oxidation, the semiconductor structures are consumed. In the case of a silicon germanium, the germanium may diffuse during the thermal oxidation to produce a silicon germanium channel region.Type: GrantFiled: July 31, 2007Date of Patent: May 14, 2013Assignee: Freescale Semiconductor, Inc.Inventors: Mariam G. Sadaka, Michael A. Mendicino
-
Patent number: 8440540Abstract: A method includes forming a protective layer with an opening over a substrate, thereafter implanting a dopant into a substrate region through the opening, the protective layer protecting a different substrate region, and reducing thickness of the protective layer. A different aspect includes etching a substrate to form a recess therein, thereafter implanting a dopant into a substrate region within the recess and through an opening in a protective layer provided over the substrate, and reducing thickness of the protective layer. Another aspect includes forming a protective layer over a substrate, forming photoresist having an opening over the protective layer, etching the protective layer through the opening to expose the substrate, etching the substrate to form a recess in the substrate, implanting a dopant into a substrate portion, the protective layer protecting a different substrate portion thereunder, and etching the protective layer to reduce its thickness.Type: GrantFiled: October 2, 2009Date of Patent: May 14, 2013Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Han-Chi Liu, Dun-Nian Yaung, Jen-Cheng Liu, Yuan-Hung Liu
-
Patent number: 8440541Abstract: The disclosure relates to preparation of silicon on insulator structures with reduced unbonded regions and to methods for producing such wafers by minimizing the roll-off amount (ROA) of the handle and donor wafers. Methods for polishing wafers are also provided.Type: GrantFiled: February 4, 2011Date of Patent: May 14, 2013Assignee: MEMC Electronic Materials, Inc.Inventors: John A. Pitney, Ichiro Yoshimura, Lu Fei
-
Patent number: 8440542Abstract: A method of manufacturing a semiconductor wafer, the method comprising: providing a base wafer comprising a semiconductor substrate; preparing a first monocrystalline layer comprising semiconductor regions; preparing a second monocrystalline layer comprising semiconductor regions overlying the first monocrystalline layer; and etching portions of said first monocrystalline layer and portions of said second monocrystalline layer as part of forming at least one transistor on said first monocrystalline layer.Type: GrantFiled: August 26, 2011Date of Patent: May 14, 2013Assignee: Monolithic 3D Inc.Inventors: Deepak C. Sekar, Zvi Or-Bach
-
Patent number: 8440543Abstract: A method of improving thermal cycling reliability for a hybrid circuit structure requires providing at least two circuit layers, aligning two of the circuit layers vertically such that their respective circuit elements have a precise and well-defined spatial relationship, and providing an adhesive material which wicks into a portion of the space between the aligned layers so as to mitigate damage to the structure and/or interconnections that might otherwise occur due to thermal contraction mismatch between the layers. The adhesive material is required to have an associated viscosity such that, when provided under predetermined conditions, the adhesive stops wicking before reaching, and possibly degrading the performance of, the circuit elements.Type: GrantFiled: September 19, 2011Date of Patent: May 14, 2013Assignee: Teledyne Scientific & Imaging, LLCInventors: Donald E. Cooper, William E. Tennant
-
Patent number: 8440544Abstract: CMOS structures with a replacement substrate and methods of manufacture are disclosed herein. The method includes forming a device on a temporary substrate. The method further includes removing the temporary substrate. The method further includes bonding a permanent electrically insulative substrate to the device with a bonding structure.Type: GrantFiled: October 6, 2010Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Paul S. Andry, Edmund J. Sprogis, Cornelia K. Tsang
-
Patent number: 8440545Abstract: A method of manufacturing a semiconductor device includes spraying fluid onto a surface of a treatment target substrate including a semiconductor substrate; forming a protection layer on the surface of the treatment target substrate after spraying the fluid; selectively removing the protection layer and a part of the treatment target substrate by an energy beam; and conducting removal processing on an area of the treatment target substrate from which the protection layer and the part of the treatment target substrate are selectively removed.Type: GrantFiled: November 18, 2008Date of Patent: May 14, 2013Assignee: Fujitsu Semiconductor LimitedInventors: Hironori Fukaya, Yuzo Shimobeppu, Kazuhiro Yoshimoto, Yoshiaki Shinjo, Kazuo Teshirogi, Mika Sakamoto
-
Patent number: 8440546Abstract: The invention provides methods and devices for fabricating printable semiconductor elements and assembling printable semiconductor elements onto substrate surfaces. Methods, devices and device components of the present invention are capable of generating a wide range of flexible electronic and optoelectronic devices and arrays of devices on substrates comprising polymeric materials. The present invention also provides stretchable semiconductor structures and stretchable electronic devices capable of good performance in stretched configurations.Type: GrantFiled: May 23, 2011Date of Patent: May 14, 2013Assignee: The Board of Trustees of the University of IllinoisInventors: Ralph G. Nuzzo, John A. Rogers, Etienne Menard, Keon Jae Lee, Dahl-Young Khang, Yugang Sun, Matthew Meitl, Zhengtao Zhu
-
Patent number: 8440547Abstract: Various techniques for changing the workfunction of the substrate by using a SiGe channel which, in turn, changes the bandgap favorably for a p-type metal oxide semiconductor field effect transistors (pMOSFETs) are disclosed. In the various techniques, a SiGe film that includes a low doped SiGe region above a more highly doped SiGe region to allow the appropriate threshold voltage (Vt) for pMOSFET devices while preventing pitting, roughness and thinning of the SiGe film during subsequent cleans and processing is provided.Type: GrantFiled: February 9, 2009Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Stephen W. Bedell, Ashima B. Chakravarti, Michael P. Chudzik, Judson R. Holt, Dominic J. Schepis
-
Patent number: 8440548Abstract: An object is to provide a manufacturing method of a microcrystalline silicon film with improved adhesion between an insulating film and the microcrystalline silicon film. The microcrystalline silicon film is formed in the following manner. Over an insulating film, a microcrystalline silicon grain having a height that allows the microcrystalline silicon grain to be completely oxidized by later plasma oxidation (e.g., a height greater than 0 nm and less than or equal to 5 nm), or a microcrystalline silicon film or an amorphous silicon film having a thickness that allows the microcrystalline silicon film or the amorphous silicon film to be completely oxidized by later plasma oxidation (e.g., a thickness greater than 0 nm and less than or equal to 5 nm) is formed.Type: GrantFiled: July 19, 2011Date of Patent: May 14, 2013Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki KaishaInventors: Hidekazu Miyairi, Takashi Ienaga, Masao Moriguchi, Yosuke Kanzaki
-
Patent number: 8440549Abstract: A semiconductor epitaxial substrate includes: a single crystal substrate; an AlN layer epitaxially grown on the single crystal substrate; and a nitride semiconductor layer epitaxially grown on the AlN layer, wherein an interface between the AlN layer and nitride semiconductor layer has a larger roughness than an interface between the single crystal substrate and AlN layer, and a skewness of the upper surface of the AlN layer is positive.Type: GrantFiled: September 20, 2011Date of Patent: May 14, 2013Assignees: Fujitsu Limited, Hitachi Cable Co., Ltd.Inventors: Kenji Imanishi, Toshihide Kikkawa, Takeshi Tanaka, Yoshihiko Moriya, Yohei Otoki
-
Patent number: 8440550Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure may comprise a substrate (110); an insulation layer (120) formed on the substrate (110); a strained layer (130) formed on the insulation layer (120); a strained layer (140) with high Ge content formed on the strained layer (130); and a gate stack (160) formed on the strained layer (140) with high Ge content.Type: GrantFiled: November 29, 2010Date of Patent: May 14, 2013Assignee: Tsinghua UniversityInventors: Jing Wang, Jun Xu, Lei Guo
-
Patent number: 8440551Abstract: A plasma doping method capable of introducing impurities into an object to be processed uniformly is supplied. Plasma of a diborane gas containing boron, which is a p-type impurity, and an argon gas, which is a rare gas, is generated, and no bias potential is applied to a silicon substrate. Thereby, the boron radicals in the plasma are deposited on the surface of the silicon substrate. After that, the supply of the diborane gas is stopped, and bias potential is applied to the silicon substrate. Thereby, the argon ions in the plasma are radiated onto the surface of the silicon substrate. The radiated argon ions collide with the boron radicals, and thereby boron radicals are introduced into the silicon substrate. The introduced boron radicals are activated by thermal processing, and thereby a p-type impurity diffusion layer is formed in the silicon substrate.Type: GrantFiled: May 11, 2012Date of Patent: May 14, 2013Assignee: ULVAC, Inc.Inventors: Kazuhiko Tonari, Tsutomu Nishihashi
-
Patent number: 8440552Abstract: A method includes providing an ETSOI wafer having a semiconductor layer having a top surface with at least one gate structure having on sidewalls thereof a layer of dielectric material. A portion of the layer of dielectric material extends away from the gate structure on the surface of the semiconductor layer. The method further includes faulting a raised S/D on the semiconductor layer adjacent to the portion of the layer of dielectric material, removing the portion of the layer of dielectric material to expose an underlying portion of the surface of the semiconductor layer and applying a layer of glass containing a dopant to cover at least the exposed portion of the surface of the semiconductor layer. The method further includes diffusing the dopant through the exposed portion of the surface of the semiconductor layer to form a source extension region and a drain extension region.Type: GrantFiled: January 9, 2012Date of Patent: May 14, 2013Assignee: International Business Machines CorporationInventors: Kangguo Chen, Bruce B. Doris, Balasubramanian S. Haran, Amlan Majumdar, Sanjay Mehta
-
Patent number: 8440553Abstract: Method of producing a vertically inhomogeneous platinum or gold distribution in a semiconductor substrate with a first and a second surface opposite the first surface, with diffusing (100) platinum or gold into the semiconductor substrate from one of the first and second surfaces of the semiconductor substrate, removing (102) platinum- or gold-comprising residues remaining on the one of the first and second surfaces after diffusing the platinum or gold, forming (104) a phosphorus- or boron-doped surface barrier layer on the first or second surface, and heating (105) the semiconductor substrate for local gettering of the platinum or gold by the phosphorus- or boron-doped surface barrier layer.Type: GrantFiled: April 25, 2008Date of Patent: May 14, 2013Assignee: Infineon Technologies Austria AGInventors: Gerhard Schmidt, Josef Bauer
-
Patent number: 8440554Abstract: A method includes forming through vias in a substrate of an array. Nubs of the through vias are exposed from a backside surface of the substrate. A backside passivation layer is applied to enclose the nubs. Laser-ablated artifacts are formed in the backside passivation layer to expose the nubs. Circuit features are formed within the laser-ablated artifacts. By forming the circuit features within the laser-ablated artifacts in the backside passivation layer, the cost of fabricating the array is minimized. More particularly, the number of operations to form the embedded circuit features is minimized thus minimizing fabrication cost of the array.Type: GrantFiled: August 2, 2010Date of Patent: May 14, 2013Assignee: Amkor Technology, Inc.Inventors: David Jon Hiner, Ronald Patrick Huemoeller
-
Patent number: 8440555Abstract: Effective fillability and the uniformity electrodeposition of a copper electroplating solution is judged by determining the time-dependent potential change thereof at a cathode current density of 0.1-20 A/dm2. The potential change is determined at a working electrode rotation of 100-7500 rpm, and the fillability with the solution is judged from the curve profile. In an embodiment of the present invention, the fillability is judged by obtaining the potential change speed in the initial stage of electrolysis and the potential convergent point from the time-dependent potential change curve for a predetermined period of time after the start of the electrolysis.Type: GrantFiled: October 12, 2010Date of Patent: May 14, 2013Assignee: Toppan Printing Co., Ltd.Inventors: Toshikazu Okubo, Katsuyoshi Naoi, Yuka Yamada
-
Patent number: 8440556Abstract: Forming conformal platinum-zinc films for semiconductor devices is described. In one example, a conformal film is formed by heating a substrate in a reaction chamber, exposing a desired region of the substrate to a precursor that contains platinum, purging excess precursor from the chamber, exposing the desired region of the substrate to a co-reactant containing zinc to cause a reaction between the precursor and the co-reactant to form a platinum zinc film on the desired region, and purging the chamber of excess reaction by-products.Type: GrantFiled: December 22, 2010Date of Patent: May 14, 2013Assignee: Intel CorporationInventors: Scott Bruce Clendenning, Niloy Mukherjee
-
Patent number: 8440557Abstract: The present invention is directed to a method for manufacturing a semiconductor device by forming an ultraviolet radiation absorbing film of a silicon-rich film above a semiconductor substrate, measuring an extinction coefficient of the ultraviolet radiation absorbing film of a silicon-rich film for ultraviolet radiation, and etching the ultraviolet radiation absorbing film of a silicon-rich film under an etching condition using an oxygen gas flow rate corresponding to the extinction coefficient.Type: GrantFiled: July 20, 2010Date of Patent: May 14, 2013Assignee: Spansion LLCInventors: Seiji Yokoyama, Yuuichirou Sekimoto, Sinichi Imada
-
Patent number: 8440558Abstract: There is provided a semiconductor device and a method of fabricating the same. The method comprises: providing a semiconductor substrate; forming a transistor structure on the semiconductor substrate, wherein the transistor structure comprises a gate region and a source/drain region, and the gate region comprises a gate dielectric layer provided on the semiconductor substrate and a sacrificial gate formed on the gate dielectric layer; depositing a first interlayer dielectric layer, and planarizing the first interlayer dielectric layer to expose the sacrificial gate; removing the sacrificial gate to form a replacement gate hole; forming first contact holes at positions corresponding to the source/drain region in the first interlayer dielectric layer; and filling a first conductive material in the first contact holes and the replacement gate hole respectively to form first contacts and a replacement gate, wherein the first contacts come into contact with the source/drain region.Type: GrantFiled: September 16, 2010Date of Patent: May 14, 2013Assignee: Institute of Microelectronics, Chinese Academy of ScinecesInventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
-
Patent number: 8440560Abstract: A method for fabricating a tungsten (W) line includes forming a silicon-containing layer, forming a diffusion barrier layer over the silicon-containing layer, forming a tungsten layer over the diffusion barrier layer, and performing a thermal treatment process on the tungsten layer to increase a grain size of the tungsten layer.Type: GrantFiled: June 27, 2008Date of Patent: May 14, 2013Assignee: Hynix Semiconductor Inc.Inventors: Min-Gyu Sung, Heung-Jae Cho, Kwan-Yong Lim
-
Patent number: 8440561Abstract: In a stacked chip configuration, the “inter chip” connection is established on the basis of functional molecules, thereby providing a fast and space-efficient communication between the different semiconductor chips.Type: GrantFiled: July 27, 2010Date of Patent: May 14, 2013Assignee: GLOBALFOUNDRIES Inc.Inventors: Stephan Kronholz, Markus Lenski, Ralf Richter
-
Patent number: 8440562Abstract: A semiconductor structure and methods of forming the same are provided. The semiconductor structure includes a semiconductor substrate; a first dielectric layer over the semiconductor substrate; a conductive wiring in the first dielectric layer; and a copper germanide nitride layer over the conductive wiring.Type: GrantFiled: September 14, 2010Date of Patent: May 14, 2013Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chung-Shi Liu, Chen-Hua Yu
-
Patent number: 8440563Abstract: Provided is a film-forming method for performing a film-forming process on a surface of a target substrate to be processed in an evacuable processing chamber, a recessed portion being formed on the surface of the target substrate. The method includes a transition metal-containing film processing process in which a transition metal-containing film is formed by a heat treatment by using a source gas containing a transition metal; and a metal film forming process in which a metal film containing an element of the group VIII of the periodic table is formed.Type: GrantFiled: January 10, 2011Date of Patent: May 14, 2013Assignee: Tokyo Electron LimitedInventors: Kenji Matsumoto, Yasushi Mizusawa
-
Patent number: 8440564Abstract: A method of forming a semiconductor structure includes providing a substrate; forming a low-k dielectric layer over the substrate; embedding a conductive wiring into the low-k dielectric layer; and thermal soaking the conductive wiring in a carbon-containing silane-based chemical to form a barrier layer on the conductive wiring. A lining barrier layer is formed in the opening for embedding the conductive wiring. The lining barrier layer may comprise same materials as the barrier layer, and the lining barrier layer may be recessed before forming the barrier layer and may contain a metal that can be silicided.Type: GrantFiled: July 17, 2012Date of Patent: May 14, 2013Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chen-Hua Yu, Hai-Ching Chen, Tien-I Bao
-
Patent number: 8440565Abstract: There is provided a method of manufacturing the semiconductor apparatus, including: forming through-hole which penetrates a semiconductor substrate at a point that corresponds to a location of an electrode pad; forming an insulating film on a rear surface of the semiconductor substrate, including the interior of the through-hole; forming an adhesion securing layer from a metal or an inorganic insulator on a surface of the insulating film at least in an opening portion of the through-hole; forming a resist layer to serve as a mask in bottom etching on the adhesion securing layer; performing bottom etching to expose the electrode pad; removing the resist layer to obtain the insulating film free of surface irregularities that would otherwise have been created by bottom etching; forming a barrier layer, a seed layer, and a conductive layer by a low-temperature process; and performing patterning.Type: GrantFiled: November 18, 2009Date of Patent: May 14, 2013Assignee: Canon Kabushiki KaishaInventor: Tadayoshi Muta
-
Patent number: 8440566Abstract: The method is adapted for forming an aluminum nitride thin film having a high density and a high resistance to thermal shock by a chemical vapor deposition process and includes steps of mixing a gas containing aluminum atoms (Al) and a gas containing nitrogen atoms (N) with a gas containing oxygen atoms (O) and feeding the mixture to a member to be covered by an aluminum nitride thin film.Type: GrantFiled: July 22, 2011Date of Patent: May 14, 2013Assignee: Shin-Etsu Chemical Co., Ltd.Inventors: Koji Kato, Shoji Kano, Waichi Yamamura
-
Patent number: 8440567Abstract: Some embodiments include methods in which insulative material is simultaneously deposited across both a front side of a semiconductor substrate, and across a back side of the substrate. Subsequently, openings may be etched through the insulative material across the front side, and the substrate may then be dipped within a plating bath to grow conductive contact regions within the openings. The insulative material across the back side may protect the back side from being plated during the growth of the conductive contact regions over the front side. In some embodiments, plasma-enhanced atomic layer deposition may be utilized for the deposition, and may be conducted at a temperature suitable to anneal passivation materials so that such annealing occurs simultaneously with the plasma-enhanced atomic layer deposition.Type: GrantFiled: February 23, 2011Date of Patent: May 14, 2013Assignee: Micron Technology, Inc.Inventors: Junting Liu, Er-Xuan Ping, Seiichi Takedai
-
Patent number: 8440568Abstract: The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F2 gas, an XeF2 gas, and a ClF3 gas, to the substrate; and after the etching of the silicon oxide film and the etching of the silicon, heating and removing the condensation layer from the substrate.Type: GrantFiled: March 19, 2010Date of Patent: May 14, 2013Assignee: Tokyo Electron LimitedInventor: Hajime Ugajin