Patents Issued in March 6, 2014
-
Publication number: 20140065780Abstract: A Fin Field-Effect Transistor (FinFET) includes a fin, which includes a channel splitter having a first bandgap, and a channel including a first portion and a second portion on opposite sidewalls of the channel splitter. The channel has a second bandgap smaller than the first bandgap. A gate electrode includes a first portion and a second portion on opposite sides of the fin. A gate insulator includes a first portion between the first portion of the gate electrode and the first portion of the channel, and a second portion between the second portion of the gate electrode and the second portion of the channel.Type: ApplicationFiled: November 13, 2013Publication date: March 6, 2014Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Krishna Kumar Bhuwalka, Gerben Doornbos, Matthias Passlack
-
Publication number: 20140065781Abstract: An ultra-high voltage n-type-metal-oxide-semiconductor (UHV NMOS) device with improved performance and methods of manufacturing the same are provided. The UHV NMOS includes a substrate of P-type material; a first high-voltage N-well (HVNW) region disposed in a portion of the substrate; a source and bulk p-well (PW) adjacent to one side of the first HVNW region, and the source and bulk PW comprising a source and a bulk; a gate extended from the source and bulk PW to a portion of the first HVNW region, and a drain disposed within another portion of the first HVNW region that is opposite to the gate; a P-Top layer disposed within the first HVNW region, the P-Top layer positioned between the drain and the source and bulk PW; and an n-type implant layer formed on the P-Top layer.Type: ApplicationFiled: November 5, 2013Publication date: March 6, 2014Applicant: Macronix International Co., Ltd.Inventors: Chieh-Chih Chen, Cheng-Chi Lin, Chen-Yuan Lin, Shih-Chin Lien, Shyi-Yuan Wu
-
Publication number: 20140065782Abstract: A FinFET device is fabricated by first receiving a FinFET precursor. The FinFET precursor includes a substrate and fin structures on the substrate. A sidewall spacer is formed along sidewall of fin structures in the precursor. A portion of fin structure is recessed to form a recessing trench with the sidewall spacer as its upper portion. A semiconductor is epitaxially grown in the recessing trench and continually grown above the recessing trench to form an epitaxial structure.Type: ApplicationFiled: August 30, 2012Publication date: March 6, 2014Inventors: Chih Wei Lu, Chung-Ju Lee, Hsiang-Huan Lee, Tien-I Bao
-
Publication number: 20140065783Abstract: At least one layer including a scavenging material and a dielectric material is deposited over a gate stack, and is subsequently anisotropically etched to form a oxygen-scavenging-material-including gate spacer. The oxygen-scavenging-material-including gate spacer can be a scavenging-nanoparticle-including gate spacer or a scavenging-island-including gate spacer. The scavenging material is distributed within the oxygen-scavenging-material-including gate spacer in a manner that prevents an electrical short between a gate electrode and a semiconductor material underlying a gate dielectric. The scavenging material actively scavenges oxygen that diffuses toward the gate dielectric from above, or from the outside of, a dielectric gate spacer that can be formed around the oxygen-scavenging-material-including gate spacer.Type: ApplicationFiled: November 6, 2013Publication date: March 6, 2014Applicant: International Business Machines CorporationInventors: Michael P. Chudzik, Deleep R. Nair, Vijay Narayanan, Carl J. Radens, Jay M. Shah
-
Publication number: 20140065784Abstract: A method of fabricating a semiconductor device with capacitors may include forming a mold structure on a lower structure, patterning the mold structure to form a plurality of holes exposing the lower structure, forming a protection layer on sidewalls of the mold structure exposed by the holes, forming lower electrodes in the holes provided with the protection layer, removing the mold structure to expose the protection layer, removing the protection layer to expose sidewalls of the lower electrodes, and sequentially forming a dielectric film and an upper electrode on the lower electrodes.Type: ApplicationFiled: July 26, 2013Publication date: March 6, 2014Applicant: Samsung Electronics Co., Ltd.Inventors: Junho Yoon, Dongchan Kim, Gyungjin Min, Jaehong Park, Yongmoon Jang, Je-Woo Han
-
Publication number: 20140065785Abstract: Semiconductor devices are provided. Each of the semiconductor devices may include a plurality of electrodes. Moreover, each of the semiconductor devices may include a supporting pattern connected to sidewalls of the plurality of electrodes. Related methods of forming semiconductor devices are also provided. For example, the methods may include forming the supporting pattern before forming the plurality of electrodes.Type: ApplicationFiled: August 14, 2013Publication date: March 6, 2014Inventors: Junho Yoon, Gyungjin Min, Jaehong Park, Yongmoon Jang, Je-Woo Han
-
Publication number: 20140065786Abstract: An integrated circuit device and methods of manufacturing the same are disclosed. In an example, integrated circuit device includes a capacitor having a doped region disposed in a semiconductor substrate, a dielectric layer disposed over the doped region, and an electrode disposed over the dielectric layer. At least one post feature embedded in the electrode.Type: ApplicationFiled: November 19, 2013Publication date: March 6, 2014Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Harry-Hak-Lay Chuang, Ming Zhu
-
Publication number: 20140065787Abstract: An integrated circuit includes a substrate including isolation regions, a first conductive line formed in the substrate between isolation regions, and a vertical diode formed in the substrate. The integrated circuit includes a contact coupled to the vertical diode and a memory element coupled to the contact. The first conductive line provides a portion of the vertical diode.Type: ApplicationFiled: November 7, 2013Publication date: March 6, 2014Applicants: International Business Machines Corporation, Qimonda AG, Macronix International Co., Ltd.Inventors: Thomas Happ, Hsiang-Lan Lung, Bipin Rajendran, Min Yang
-
Publication number: 20140065788Abstract: In some embodiments of the present invention, methods of using one or more small spot showerhead apparatus to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner are described. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner.Type: ApplicationFiled: November 8, 2013Publication date: March 6, 2014Applicant: Intermolecular, Inc.Inventors: Albert Lee, Tony P. Chiang, Jason Wright
-
Publication number: 20140065789Abstract: In a nonvolatile semiconductor memory device, there is provided a technique which promotes microfabrication by reducing a thickness of the device as suppressing an OFF current of a polysilicon diode which is a selective element. A polysilicon layer to which an impurity is doped at low concentration and which becomes an electric-field relaxation layer of the polysilicon diode which is a selective element of a resistance variable memory is formed so as to be divided into two or more layers such as polysilicon layers. In this manner, it is suppressed to form the crystal grain boundaries thoroughly penetrating between an n-type polysilicon layer and a p-type polysilicon layer in the electric-field relaxation layer, and therefore, it is prevented to generate a leakage current flowing through the crystal grain boundaries in application of a reverse-bias voltage without increasing a height of the polysilicon diode.Type: ApplicationFiled: November 10, 2013Publication date: March 6, 2014Applicant: Hitachi, Ltd.Inventors: Yoshitaka SASAGO, Masaharu KINOSHITA, Mitsuharu TAI, Takashi KOBAYASHI
-
Publication number: 20140065790Abstract: Embodiments of the invention generally relate to a resistive switching nonvolatile memory device having an interface layer structure disposed between at least one of the electrodes and a variable resistance layer formed in the nonvolatile memory device, and a method of forming the same. Typically, resistive switching memory elements may be formed as part of a high-capacity nonvolatile memory integrated circuit, which can be used in various electronic devices, such as digital cameras, mobile telephones, handheld computers, and music players. In one configuration of the resistive switching nonvolatile memory device, the interface layer structure comprises a passivation region, an interface coupling region, and/or a variable resistance layer interface region that are configured to adjust the nonvolatile memory device's performance, such as lowering the formed device's switching currents and reducing the device's forming voltage, and reducing the performance variation from one formed device to another.Type: ApplicationFiled: November 13, 2013Publication date: March 6, 2014Applicants: Intermolecular Inc., SanDisk 3D LLC, Kabushiki Kaisha ToshibaInventors: Yun Wang, Tony P. Chiang, Imran Hashim
-
Publication number: 20140065791Abstract: An electronic device includes a semiconductor substrate and a dielectric layer over the substrate. A resistive link located over the substrate includes a first resistive region and a second resistive region. The first resistive region has a first resistivity and a first morphology. The second resistive region has a second resistivity and a different second morphology.Type: ApplicationFiled: November 6, 2013Publication date: March 6, 2014Applicant: Agere Systems, Inc.Inventors: Frank A. Balocchi, James T. Cargo, James M. DeLucca, Barry J. Dutt, Charles Martin
-
Publication number: 20140065792Abstract: Methods and devices related to a plurality of high breakdown voltage embedded capacitors are presented. A semiconductor device may include gate material embedded in an insulator, a plurality of metal contacts, and a plurality of capacitors. The plurality of capacitors may include a lower electrode, a dielectric formed so as to cover a surface of the lower electrode, and an upper electrode formed on the dielectric. Further, the plurality of contacts may connect each of the lower electrodes of the plurality of capacitors to the gate material. The plurality of capacitors may be connected in series via the gate material.Type: ApplicationFiled: November 11, 2013Publication date: March 6, 2014Applicant: QUALCOMM IncorporatedInventors: Woo Tag Kang, Jonghae Kim
-
Publication number: 20140065793Abstract: An alignment mark is formed on a substrate including a first region and a second region. The alignment mark is formed in the second region. An etch target layer including a crystalline material is formed on the alignment mark and the substrate. The etch target layer in the first region is partially amorphized. The amorphized etch target layer is etched to form an opening.Type: ApplicationFiled: May 15, 2013Publication date: March 6, 2014Applicant: Samsung Electronics Co., Ltd.Inventors: Jong-Hoon Kang, Tae-Gon Kim, Han-Mei Choi, Eun-Young Jo
-
Publication number: 20140065794Abstract: Disclosed are methods for forming a localized buried dielectric layer under a fin for use in a semiconductor device. In some embodiments, the method may include providing a substrate comprising a bulk semiconductor material and forming at least two trenches in the substrate, thereby forming at least one fin. The method further includes filling the trenches with an insulating material and partially removing the insulating material to form an insulating region at the bottom of each of the trenches. The method further includes depositing a liner at least on the sidewalls of the trenches, removing a layer from a top of each of the insulating regions to thereby form a window opening at the bottom region of the fin, and transforming the bulk semiconductor material of the bottom region of the fin via the window opening, thereby forming a localized buried dielectric layer in the bottom region of the fin.Type: ApplicationFiled: November 16, 2011Publication date: March 6, 2014Applicant: IMECInventors: Gouri Sankar Kar, Antonino Cacciato, Min-Soo Kim
-
Publication number: 20140065795Abstract: A trench isolation method is disclosed. A substrate having thereon a pad layer and a hard mask is provided. An opening is formed in the hard mask. The substrate is etched through the opening to thereby form a first trench. A spacer is formed on a sidewall of the first trench. A second trench is then etched into the substrate through the first trench by using the spacer as an etching hard mask. The substrate within the second trench is then oxidized by using the spacer as an oxidation protection layer, thereby forming an oxide layer that fills the second trench. The spacer is then removed to reveal the sidewall of the first trench. A liner layer is then formed on the revealed sidewall of the first trench. A chemical vapor deposition process is then performed to deposit a dielectric layer that fills the first trench.Type: ApplicationFiled: September 27, 2012Publication date: March 6, 2014Applicant: ANPEC ELECTRONICS CORPORATIONInventors: Yung-Fa Lin, Chia-Hao Chang
-
Publication number: 20140065796Abstract: The present invention discloses a group III nitride wafer such as GaN, AlN, InN and their alloys having one surface visually distinguishable from the other surface. After slicing of the wafer from a bulk crystal of group III nitride with a mechanical method such as multiple wire saw, the wafer is chemically etched so that one surface of the wafer is visually distinguishable from the other surface. The present invention also discloses a method of producing such wafers.Type: ApplicationFiled: March 15, 2013Publication date: March 6, 2014Applicants: Seoul Semiconductor Co., Ltd., SixPoint Materials, Inc.Inventors: Tadao Hashimoto, Edward Letts, Sierra Hoff
-
Publication number: 20140065797Abstract: Methods of dicing substrates by both laser scribing and plasma etching. A method includes forming an in-situ mask with a plasma etch chamber by accumulating a thickness of plasma deposited polymer to protect IC bump surfaces from a subsequent plasma etch. Second mask materials, such as a water soluble mask material may be utilized along with the plasma deposited polymer. At least some portion of the mask is patterned with a femtosecond laser scribing process to provide a patterned mask with trenches. The patterning exposing regions of the substrate between the ICs in which the substrate is plasma etched to singulate the IC and the water soluble material layer washed off.Type: ApplicationFiled: November 5, 2013Publication date: March 6, 2014Inventors: Madhava Rao Yalamanchili, Wei-Sheng Lei, Brad Eaton, Saravjeet Singh, Ajay Kumar, Banqiu Wu
-
Publication number: 20140065798Abstract: Provided are methods and apparatus for functionalizing a substrate surface used as the channel in a gate stack. Silicon, germanium and silicon germanium substrates surfaces are functionalized with one or more of sulfur and selenium by plasma processing.Type: ApplicationFiled: August 30, 2013Publication date: March 6, 2014Inventors: Khaled Z. Ahmed, Steven Hung, Kaushal K. Singh, Sundar Ramamurthy
-
Publication number: 20140065799Abstract: Methods for improving contact resistance, for example, to a semiconductor region such as a source or a drain region, are disclosed. The methods can include exposing the substrate to an activated hydrogen species to remove contaminant layers such as native oxide layers followed by exposing the substrate to plasma activated dopant species to passivate the surface. The methods can further include depositing a layer on a substrate, wherein the layer can include a first element to form a silicide with the substrate and a second element to lower a contact resistance between the silicide and the substrate. The second element can include a dopant, which can enhance trap assisted tunneling or lower the Schottky barrier height between the silicide layer and the substrate. The cleaning, passivation, and deposition steps are performed in-situ without breaking vacuum.Type: ApplicationFiled: November 13, 2013Publication date: March 6, 2014Applicant: Intermolecular, Inc.Inventor: Khaled Ahmed
-
Publication number: 20140065800Abstract: Gas containing Si, gas containing C and gas containing Cl are introduced into a reacting furnace. SiC epitaxial film is grown on the surface of a 4H—SiC substrate by CVD in a gas atmosphere including raw material gas, additive gas, doping gas and carrier gas. The amount of the gas containing Cl relative to the gas containing Si in the gas atmosphere is reduced gradually. At the start of growth, the number of Cl atoms in the gas containing Cl is three times as large as the number of Si atoms in the gas containing Si. The number of Cl atoms in the gas containing Cl relative to the number of Si atoms in the gas containing Si in the gas atmosphere is reduced at a rate of 0.5%/min to 1.0%/min. The method grows silicon carbide semiconductor film at a high rate.Type: ApplicationFiled: July 16, 2013Publication date: March 6, 2014Applicant: FUJI ELECTRIC CO., LTD.Inventors: Yasuyuki KAWADA, Yoshiyuki YONEZAWA
-
Publication number: 20140065801Abstract: A method of forming a semiconductor substrate including providing a base substrate including a semiconductor material, and forming a first semiconductor layer overlying the base substrate having a Group 13-15 material via hydride vapor phase epitaxy (HVPE), the first semiconductor layer having an upper surface having a N-face orientation.Type: ApplicationFiled: September 4, 2013Publication date: March 6, 2014Inventors: Jean-Pierre Faurie, Bernard Beaumont
-
Publication number: 20140065802Abstract: Techniques are provided for gate work function engineering in FIN FET devices using a work function setting material an amount of which is provided proportional to fin pitch. In one aspect, a method of fabricating a FIN FET device includes the following steps. A SOI wafer having a SOI layer over a BOX is provided. An oxide layer is formed over the SOI layer. A plurality of fins is patterned in the SOI layer and the oxide layer. An interfacial oxide is formed on the fins. A conformal gate dielectric layer, a conformal gate metal layer and a conformal work function setting material layer are deposited on the fins. A volume of the conformal gate metal layer and a volume of the conformal work function setting material layer deposited over the fins is proportional to a pitch of the fins. A FIN FET device is also provided.Type: ApplicationFiled: August 28, 2012Publication date: March 6, 2014Applicant: International Business Machines CorporationInventors: Josephine B. Chang, Isaac Lauer, Chung-Hsun Lin, Jeffrey W. Sleight
-
Publication number: 20140065803Abstract: A method of producing an inorganic multi-layered thin film structure includes providing a substrate. A patterned deposition inhibiting material layer is provided on the substrate. A first inorganic thin film material layer is selectively deposited on a region of the substrate where the deposition inhibiting material layer is not present using an atomic layer deposition process. A second inorganic thin film material layer is selectively deposited on the region of the substrate where the thin film deposition inhibiting material layer is not present using an atomic layer deposition process.Type: ApplicationFiled: August 31, 2012Publication date: March 6, 2014Inventors: Carolyn R. Ellinger, David H. Levy, Shelby F. Nelson
-
Publication number: 20140065804Abstract: An embodiment of the present invention relates to a low temperature polysilicon thin film and a manufacturing method thereof. The manufacturing method comprises: forming a buffer layer on a substrate (S11); forming a seed layer comprising a plurality of uniformly distributed crystal nuclei on the buffer layer by using a patterning process (S12); forming an amorphous silicon layer on the seed layer (S13); and performing an excimer laser annealing process on the amorphous silicon layer (S14).Type: ApplicationFiled: December 10, 2012Publication date: March 6, 2014Applicant: BOE TECHNOLOGY GROUP CO., LTD.Inventors: Xueyan Tian, Chunping Long, Jiangfeng Yao
-
Publication number: 20140065805Abstract: According to one embodiment, a manufacturing method of a semiconductor device includes forming a crystal film on a semiconductor substrate by irradiating the semiconductor substrate with a first microwave, obtained by providing frequency modulation or phase modulation of a first carrier wave which is a sine wave with a first frequency, using a first signal wave which is a sine wave or a pulse wave with a third frequency lower than a first frequency, and irradiating the semiconductor substrate with a second microwave, obtained by providing frequency modulation or phase modulation of a second carrier wave, which is a sine wave with a second frequency higher than the first frequency, using a second signal wave which is a sine wave or a pulse wave with a fourth frequency lower than the second frequency.Type: ApplicationFiled: September 3, 2013Publication date: March 6, 2014Applicant: KABUSHIKI KAISHA TOSHIBAInventor: Kyoichi SUGURO
-
Publication number: 20140065806Abstract: Apparatus and methods of forming the apparatus include a dielectric layer containing barium strontium titanium oxide layer, an erbium-doped barium strontium titanium oxide layer, or a combination thereof. Embodiments of methods of fabricating such dielectric layers provide dielectric layers for use in a variety of devices. Embodiments include forming barium strontium titanium oxide film using atomic layer deposition. Embodiments include forming erbium-doped barium strontium titanium oxide film using atomic layer deposition.Type: ApplicationFiled: November 8, 2013Publication date: March 6, 2014Applicant: Micron Technology, Inc.Inventors: Kie Y. Ahn, Leonard Forbes
-
Publication number: 20140065807Abstract: A method of manufacturing a semiconductor device is disclosed. A p-type substrate is doped to form an N-well in a selected portion of a p-type substrate adjacent an anode region of the substrate. A p-type doped region is formed in the anode region of the p-type substrate. The p-type doped region and the N-well form a p-n junction.Type: ApplicationFiled: September 6, 2012Publication date: March 6, 2014Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Dechao Guo, Wilfried E. Haensch, Gan Wang, Yanfeng Wang, Xin Wang
-
Publication number: 20140065808Abstract: A method comprises depositing a first portion of a first material layer on a semiconductor structure. A first run of a post-treatment process is performed for modifying at least the first portion of the first material layer. After the first run of the post-treatment process, a second portion of the first material layer is deposited. The second portion is formed of substantially the same material as the first portion. After the deposition of the second portion of the first material layer, a second run of the post-treatment process is performed for modifying at least the second portion of the first material layer.Type: ApplicationFiled: August 31, 2012Publication date: March 6, 2014Applicant: GLOBALFOUNDRIES INC.Inventors: Carsten Grass, Martin Trentzsch, Boris Bayha, Peter Krottenthaler
-
Publication number: 20140065809Abstract: A method of fabricating a semiconductor device includes forming a first gate pattern and a dummy gate pattern on a first active area and a second active area of a substrate, respectively, the first gate pattern including a first gate insulating layer and a silicon gate electrode, removing the dummy gate pattern to expose a surface of the substrate in the second active area, forming a second gate pattern including a second gate insulating layer and a metal gate electrode on the exposed surface of the substrate, the first gate insulating layer having a thickness larger than a thickness of the second gate insulating layer, and forming a gate silicide on the silicon gate electrode after forming the second gate pattern.Type: ApplicationFiled: August 28, 2012Publication date: March 6, 2014Inventors: Ju-Youn Kim, Hyun-Min Choi, Sung-Kee Han, Je-Don Kim
-
Publication number: 20140065810Abstract: A nonvolatile memory device and a method of forming the same, the device including a semiconductor substrate; a plurality of gate patterns stacked on the semiconductor substrate; inter-gate dielectric patterns between the gate patterns; active pillars sequentially penetrating the gate patterns and the inter-gate dielectric patterns to contact the semiconductor substrate; and a gate insulating layer between the active pillars and the gate patterns, wherein corners of the gate patterns adjacent to the active pillars are rounded.Type: ApplicationFiled: November 8, 2013Publication date: March 6, 2014Applicant: SAMSUNG ELECTRONICS CO., LTD.Inventors: Byoungkeun SON, Changhyun LEE, Jaegoo LEE, Kwang Soo SEOL, Byungkwan YOU
-
Publication number: 20140065811Abstract: Embodiments of the present invention relate to approaches for forming RMG FinFET semiconductor devices using a low-resistivity metal (e.g., W) as an alternate gap fill metal. Specifically, the semiconductor will typically comprise a set (e.g., one or more) of dielectric stacks formed over a substrate to create one or more trenches/channels (e.g., short/narrow and/or long/wide trenches/channels). A work function layer (e.g., TiN) will be provided over the substrate (e.g., in and around the trenches). A low-resistivity metal gate layer (e.g., W) may then be deposited (e.g., via chemical vapor deposition) and polished (e.g., via chemical-mechanical polishing). Thereafter, the gate metal layer and the work function layer may be etched after the polishing to provide a trench having the etched gate metal layer over the etched work function layer along a bottom surface thereof.Type: ApplicationFiled: September 5, 2012Publication date: March 6, 2014Applicant: GLOBALFOUNDRIES INC.Inventors: Chang Seo Park, Vimal K. Kamineni
-
Publication number: 20140065812Abstract: In a manufacturing method, gate electrode materials and a hard-mask material are deposited above a substrate. First mandrels are formed on the hard-mask material in a region of cell array. A second mandrel is formed on the hard-mask material in a region of a selection gate transistor. First sidewall-masks are formed on side-surfaces of the first mandrels. A second sidewall-mask is formed on a side-surface of the second mandrel. An upper side-surface of the second sidewall-mask is exposed. A sacrificial film is embedded between the first sidewall-masks. A sacrificial spacer is formed on the upper side-surface of the second sidewall-mask. A resist film covers the second mandrel. An outer edge of the resist film is located between the first mandrel closest to the second mandrel and the sacrificial spacer. The first mandrels are removed using the resist film as a mask. And, the sacrificial film and spacer are removed.Type: ApplicationFiled: March 12, 2013Publication date: March 6, 2014Applicant: Kabushiki Kaisha ToshibaInventors: Naoyuki IIDA, Satoshi Nagashima, Nagisa Takami, Hidefumi Mukai, Yoshihiro Yanai
-
Publication number: 20140065813Abstract: A size-filtered metal interconnect structure allows formation of metal structures having different compositions. Trenches having different widths are formed in a dielectric material layer. A blocking material layer is conformally deposited to completely fill trenches having a width less than a threshold width. An isotropic etch is performed to remove the blocking material layer in wide trenches, i.e., trenches having a width greater than the threshold width, while narrow trenches, i.e., trenches having a width less than the threshold width, remain plugged with remaining portions of the blocking material layer. The wide trenches are filled and planarized with a first metal to form first metal structures having a width greater than the critical width. The remaining portions of the blocking material layer are removed to form cavities, which are filled with a second metal to form second metal structures having a width less than the critical width.Type: ApplicationFiled: November 1, 2013Publication date: March 6, 2014Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: David V. Horak, Charles W. Koburger, III, Shom Ponoth, Chih-Chao Yang
-
Publication number: 20140065814Abstract: A manufacturing method for a micro bump structure includes the following steps as follows. A substrate is provided and a under bump metallurgy (UBM) is formed on the substrate for accommodating a solder ball. A buffer layer is disposed on the substrate and then the solder ball is disposed on the UBM. Finally, the solder ball is grinded in order get the height reduced to a predetermined height.Type: ApplicationFiled: April 2, 2013Publication date: March 6, 2014Applicant: CHIPMOS TECHNOLOGIES INC.Inventor: TSUNG JEN LIAO
-
Publication number: 20140065815Abstract: Disclosed herein are various methods of forming copper-based conductive structures on integrated circuit devices by performing a copper deposition process to fill the trench or via with copper, which can be performed by fill, plating or electroless deposition. Copper clearing of copper overburden is performed using CMP to stop on an existing liner. Copper in the trenches or vias is recessed by controlled etch. An Nblok cap layer is deposited to cap the trenches or vias so that copper is not exposed to ILD. Nblok overburden and adjacent liner is then removed by CMP. Nblok cap layer is then deposited. The proposed approach is an alternative CMP integration scheme that will eliminate the exposure of copper to ILD during CMP, will prevent any dendrite formation, can be used for all metal layers in BEOL stack, and can be utilized for multiple layers, as necessary, whenever copper CMP is desired.Type: ApplicationFiled: August 28, 2012Publication date: March 6, 2014Applicant: GLOBALFOUNDRIES INC.Inventor: Kunaljeet Tanwar
-
Publication number: 20140065816Abstract: Among other things, one or more techniques for forming a low k dielectric around a metal line during an integrated circuit (IC) fabrication process are provided. In an embodiment, a metal line is formed prior to forming a surrounding low k dielectric layer around the metal line. In an embodiment, the metal line is formed by filling a trench space in a skeleton layer with metal. In this embodiment, the skeleton layer is removed to form a dielectric space in a different location than the trench space. The dielectric space is then filled with a low k dielectric material to form a surrounding low k dielectric layer around the metal line. In this manner, damage to the surrounding low k dielectric layer, that would otherwise occur if the surrounding low k dielectric layer was etched, for example, is mitigated.Type: ApplicationFiled: August 31, 2012Publication date: March 6, 2014Applicant: Taiwan Semiconductor Manufacturing Company LimitedInventors: Tsung-Jung Tsai, Hsin-Chieh Yao, Chien-Hua Huang, Chung-Ju Lee
-
Publication number: 20140065817Abstract: A high performance on-chip vertical coaxial cable structure, method of manufacturing and design structure thereof is provided. The coaxial cable structure includes an inner conductor and an insulating material that coaxially surrounds the inner conductor. The structure further includes an outer conductor which surrounds the insulating material. Both the inner and outer conductors comprise a plurality of metal layers formed on different wiring levels and interconnected between the different wiring levels by conductors. The coaxial cable structure is formed upon a surface of a semiconductor substrate and is oriented in substantially perpendicular alignment with the surface.Type: ApplicationFiled: November 11, 2013Publication date: March 6, 2014Applicant: International Business Machines CorporationInventors: Essam Mina, Guoan Wang, Wayne H. Woods, JR.
-
Publication number: 20140065818Abstract: A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate. A sacrifice layer (SL) is formed and patterned on the substrate. The patterned SL has a plurality of openings. The method also includes forming a metal layer in the openings and then removing the patterned SL to laterally expose at least a portion of the metal layer to form a metal feature, which has a substantial same profile as the opening. A dielectric layer is deposited on sides of the metal feature.Type: ApplicationFiled: August 30, 2012Publication date: March 6, 2014Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Chih Wei Lu, Chung-Ju Lee, Hsiang-Huan Lee, Tien-I Bao
-
Publication number: 20140065819Abstract: Methods for improving contact resistance, for example, to a semiconductor region such as a source or a drain region, are disclosed. The methods can include depositing a layer on a substrate, wherein the layer can include a first element to form a silicide with the substrate and a second element to lower a contact resistance between the silicide and the substrate. The second element can include a dopant, which can enhance trap assisted tunneling or lower the Schottky barrier height between the silicide layer and the substrate.Type: ApplicationFiled: November 8, 2012Publication date: March 6, 2014Applicant: INTERMOLECULAR, INC.Inventors: Khaled Ahmed, Tony P. Chiang
-
Publication number: 20140065820Abstract: A pattern structure in a semiconductor device includes an extending line and a pad connected with an end portion of the extending line. The pad may have a width that is larger than a width of the extending line. The pad includes a protruding portion extending from a lateral portion of the pad. The pattern structure may be formed by simplified processes and may be employed in various semiconductor devices requiring minute patterns and pads.Type: ApplicationFiled: November 6, 2013Publication date: March 6, 2014Inventors: Jaehwang SIM, Jaeho MIN, Jaehan LEE, Keonsoo KIM
-
Publication number: 20140065821Abstract: Roughly described, an integrated circuit device has a conductor extending entirely through the substrate, connected on one end to the substrate topside surface and on the other end to the substrate backside surface. In various embodiments the conductor is insulated from all RDL conductors on the backside of the substrate, and/or is insulated from all conductors and device features on any below-adjacent chip in a 3D integrated circuit structure. Methods of fabrication are also described.Type: ApplicationFiled: November 5, 2013Publication date: March 6, 2014Applicant: SYNOPSYS, INC.Inventors: Jamil Kawa, Victor Moroz
-
Publication number: 20140065822Abstract: A method for forming a pattern according to an embodiment, includes forming a first film pattern having a wide width dimension above a processed film; forming a second film pattern covering a portion of the first film pattern and a third film pattern connected to the second film pattern together above the processed film, the third film pattern having a width dimension narrower than the first film pattern, and to be a line pattern of a line and space pattern; forming a fourth film pattern on a side face of the first film pattern and a plurality of film patterns by the fourth film to be a line pattern of a line and space pattern on both side faces of the third film pattern; and removing the second film pattern and the third film pattern.Type: ApplicationFiled: December 7, 2012Publication date: March 6, 2014Inventor: Yuji KOBAYASHI
-
Publication number: 20140065823Abstract: Some embodiments include methods of forming a pattern. First lines are formed over a first material, and second lines are formed over the first lines. The first and second lines form a crosshatch pattern. The first openings are extended through the first material. Portions of the first lines that are not covered by the second lines are removed to pattern the first lines into segments. The second lines are removed to uncover the segments. Masking material is formed between the segments. The segments are removed to form second openings that extend through the masking material to the first material. The second openings are extended through the first material. The masking material is removed to leave a patterned mask comprising the first material having the first and second openings therein. In some embodiments, spacers may be formed along the first and second lines to narrow the openings in the crosshatch pattern.Type: ApplicationFiled: August 31, 2012Publication date: March 6, 2014Applicant: MICRON TECHNOLOGY, INC.Inventor: Vishal Sipani
-
Publication number: 20140065824Abstract: One or more openings in an organic mask layer deposited on a first insulating layer over a substrate are formed. One or more openings in the first insulating layer are formed through the openings in the organic mask using a first iodine containing gas. An antireflective layer can be deposited on the organic mask layer. One or more openings in the antireflective layer are formed down to the organic mask layer using a second iodine containing gas. The first insulating layer can be deposited on a second insulating layer over the substrate. One or more openings in the second insulating layer can be formed using a third iodine containing gas.Type: ApplicationFiled: November 4, 2013Publication date: March 6, 2014Inventors: Daisuke Shimizu, Jong Mun Kim
-
Publication number: 20140065825Abstract: A method including preparing a polishing slurry for CMP for polishing at least a conductor layer and a conductive substance layer in contact with the conductor layer, wherein the absolute value of the potential difference between the conductive substance and the conductor at 50±5° C. is 0.25 V or less in the polishing slurry when a positive electrode and a negative electrode of a potentiometer are connected to the conductive substance and the conductor, respectively. The polishing slurry for CMP preferably comprises at least one compound selected from heterocyclic compounds containing any one of hydroxyl group, carbonyl group, carboxyl group, amino group, amide group and sulfinyl group, and containing at least one of nitrogen and sulfur atoms.Type: ApplicationFiled: November 8, 2013Publication date: March 6, 2014Applicant: HITACHI CHEMICAL CO., LTD.Inventors: Takashi Shinoda, Shigeru Nobe, Takafumi Sakurada, Yoshikazu Oomori, Tadahiro Kimura
-
Publication number: 20140065826Abstract: Provided are a polishing slurry for metal films and a polishing method which restrain the generation of erosion and seams, and makes the flatness of a surface polished therewith or thereby high. The slurry and the method are a polishing slurry, for metal films, comprising abrasive grains, a methacrylic acid based polymer and water, and a polishing method using the slurry, respectively.Type: ApplicationFiled: November 13, 2013Publication date: March 6, 2014Applicant: HITACHI CHEMICAL CO., LTD.Inventors: Takaaki Tanaka, Masato Fukasawa, Shigeru Nobe, Takafumi Sakurada, Takashi Shinoda
-
Publication number: 20140065827Abstract: A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. The gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber such that the etching gas in the plenum can be replaced with the deposition gas.Type: ApplicationFiled: September 19, 2013Publication date: March 6, 2014Applicant: Lam Research CorporationInventors: Michael Kang, Alex Paterson, Ian J. Kenworthy
-
Publication number: 20140065828Abstract: A process is provided for selective removal of one or more unwanted fins during FINFET device fabrication. In one aspect, the process includes: providing a conformal protective layer over multiple fin structures on a substrate; patterning one or more openings over the unwanted fin structure(s); and removing at least a top portion of the unwanted fin structure(s) exposed through the opening(s), the removing including removing at least a portion of the conformal protective layer over the unwanted fin structure(s) exposed through the opening(s). In enhanced aspects, the removing includes removing a hard mask from the at least one unwanted fin structure(s) exposed through the opening(s), and selectively removing semiconductor material of at least one unwanted fin structure(s). The conformal protective layer protects one or more remaining fin structures during the selective removal of the semiconductor material of the unwanted fin structure(s).Type: ApplicationFiled: September 5, 2012Publication date: March 6, 2014Applicant: GLOBALFOUNDRIES, INC.Inventors: Dae-Han CHOI, Jae Hee HWANG, Wontae HWANG
-
Publication number: 20140065829Abstract: According to one embodiment, a trench formation method uses a plasma source to make a trench in a silicon substrate by alternately repeating a depositing step and an etching step. The method includes implementing the depositing step and the etching step to satisfy the formulas recited below, where a distance between the silicon substrate and a region where plasma is to be confined is x (mm), an RF power to induce the plasma is w (kW), a pressure of the depositing step is y (Pa), and a tolerable limit of fluctuation in a plane of the silicon substrate of a width of the trench to be made is z0 (?m). 2.8w2+0.018y2?0.42wy?12w+0.91y+14?z0 ?0.010x+0.039y+0.37?z0 0.00066x2+0.0064y2+0.52w2?0.018xy+0.037xw+0.0044yw?0.12x?0.048y?3.7w+6.Type: ApplicationFiled: March 18, 2013Publication date: March 6, 2014Inventors: Takayuki SAKAI, Noriaki KATAGIRI