Patents Issued in March 31, 2015
-
Patent number: 8993427Abstract: A method for manufacturing a rectifier with a vertical MOS structure is provided. A first multi-trench structure and a first mask layer are formed at a first side of the semiconductor substrate. A second multi-trench structure is formed in the second side of the semiconductor substrate. A gate oxide layer, a polysilicon structure and a metal sputtering layer are sequentially formed on the second multi-trench structure. The rectifier further includes a wet oxide layer and a plurality of doped regions. The wet oxide layer is formed on a surface of the first multi-trench structure and in the semiconductor substrate. The doping regions are formed on a region between the semiconductor substrate and the second multi-trench structure, and located beside the mask layer. The metal sputtering layer is formed on the first mask layer corresponding to the first multi-trench structure.Type: GrantFiled: September 25, 2014Date of Patent: March 31, 2015Assignee: PFC Device Corp.Inventors: Mei-Ling Chen, Hung-Hsin Kuo
-
Patent number: 8993428Abstract: A method and structure to create damascene local interconnect during metal gate deposition. A method includes: forming a gate dielectric on an upper surface of a substrate; forming a mandrel on the gate dielectric; forming an interlevel dielectric (ILD) layer on a same level as the mandrel; forming a trench in the ILD layer; removing the mandrel; and forming a metal layer on the gate dielectric and in the trench.Type: GrantFiled: October 5, 2009Date of Patent: March 31, 2015Assignee: International Business Machines CorporationInventors: John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin, Robert R. Robison
-
Patent number: 8993429Abstract: To form an interconnect conductor structure, a stack of pads, coupled to respective active layers of a circuit, is formed. Rows of interlayer conductors are formed to extend in an X direction in contact with landing areas on corresponding pads in the stack. Adjacent rows are separated from one another in a Y direction generally perpendicular to the X direction. The interlayer conductors in a row have a first pitch in the X direction. The interlayer conductors in adjacent rows are offset in the X direction by an amount less than the first pitch. Interconnect conductors are formed over and in contact with interlayer conductors. The interconnect conductors extend in the Y direction and have a second pitch less than the first pitch.Type: GrantFiled: October 3, 2013Date of Patent: March 31, 2015Assignee: Macronix International Co., Ltd.Inventor: Shih-Hung Chen
-
Patent number: 8993430Abstract: According to one embodiment, a first core pattern is formed in a wiring portion on a process target film and a second core pattern, which is led out from the first core pattern and includes an opening, is formed in a leading portion on the process target film, a sidewall pattern is formed along an outer periphery of the first core pattern and the second core pattern and a sidewall dummy pattern is formed along an inner periphery of the opening of the second core pattern, the first core pattern and the second core pattern are removed, and the process target film is processed to transfer the sidewall pattern and the sidewall dummy pattern.Type: GrantFiled: March 5, 2012Date of Patent: March 31, 2015Assignee: Kabushiki Kaisha ToshibaInventor: Yuya Matsuda
-
Patent number: 8993431Abstract: A method for fabricating bump structure forms an under-bump metallurgy (UBM) layer in an opening of an encapsulating layer, and then forms a bump layer on the UBM layer within the opening of the encapsulating layer. After removing excess material of the bump layer from the upper surface of the encapsulating layer, the encapsulating layer is removed till a top portion of the bump layer protrudes from the upper surface of the encapsulating layer.Type: GrantFiled: May 12, 2010Date of Patent: March 31, 2015Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chun-Lei Hsu, Ming-Che Ho, Ming-Da Cheng, Chung-Shi Liu
-
Patent number: 8993432Abstract: A method and apparatus for testing the electrical characteristics, such as electrical continuity, is provided. A substrate, such as a wafer or an interposer, having a plurality of through vias (TVs) is provided. Along one side of the substrate, a conductive layer electrically couples two or more of the TVs. Thereafter, the electrical characteristics of the TVs may be test by, for example, a probe card in electrical contact with the TVs on the other side of the substrate. During testing, current passes through a first TV from a first side of the substrate, to the conductive layer on a second side of the substrate, to a second TV, and back to the first side of the substrate through the second TV.Type: GrantFiled: November 16, 2011Date of Patent: March 31, 2015Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Shang-Yun Hou, Wei-Cheng Wu, Hsien-Pin Hu, Jung Cheng Ko, Shin-Puu Jeng, Chen-Hua Yu, Kim Hong Chen
-
Patent number: 8993433Abstract: The present invention provides a manufacturing method of a semiconductor device, at least containing the following steps: first, a substrate is provided, wherein a first dielectric layer is formed on the substrate, at least one metal gate is formed in the first dielectric layer and at least one source drain region (S/D region) is disposed on two sides of the metal gate, at least one first trench is then formed in the first dielectric layer, exposing parts of the S/D region. The manufacturing method for forming the first trench further includes performing a first photolithography process through a first photomask and performing a second photolithography process through a second photomask, and at least one second trench is formed in the first dielectric layer, exposing parts of the metal gate, and finally, a conductive layer is filled in each first trench and each second trench.Type: GrantFiled: May 27, 2013Date of Patent: March 31, 2015Assignee: United Microelectronics Corp.Inventors: Chieh-Te Chen, Yu-Tsung Lai, Hsuan-Hsu Chen, Feng-Yi Chang, Chih-Sen Huang, Ching-Wen Hung
-
Patent number: 8993434Abstract: Methods for forming layers on a substrate having one or more features formed therein are provided herein. In some embodiments, a method for forming layers on a substrate having one or more features formed therein may include depositing a seed layer within the one or more features; and etching the seed layer to remove at least a portion of the seed layer proximate an opening of the feature such that the seed layer comprises a first thickness disposed on a lower portion of a sidewall of the feature proximate a bottom of the feature and a second thickness disposed on an upper portion of the sidewall proximate the opening of the feature and wherein the first thickness is greater than the second thickness.Type: GrantFiled: September 7, 2011Date of Patent: March 31, 2015Assignee: Applied Materials, Inc.Inventors: Jick M. Yu, Rong Tao, Xinyu Fu
-
Patent number: 8993435Abstract: In the formation of an interconnect structure, a metal feature is formed in a dielectric layer. An etch stop layer (ESL) is formed over the metal feature and the dielectric layer using a precursor and a carbon-source gas including carbon as precursors. The carbon-source gas is free from carbon dioxide (CO2). The precursor is selected from the group consisting essentially of 1-methylsilane (1MS), 2-methylsilane (2MS), 3-methylsilane (3MS), 4-methylsilane (4MS), and combinations thereof.Type: GrantFiled: March 15, 2010Date of Patent: March 31, 2015Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Kuan-Chen Wang, Po-Cheng Shih, Chung-Chi Ko, Keng-Chu Lin, Shwang-Ming Jeng
-
Patent number: 8993436Abstract: A method for fabricating a semiconductor device includes sequentially forming an etch stop film and an insulating film on a substrate including a lower pattern forming a conductive mask pattern including a first opening on the insulating film, forming a via-hole in the insulating film using the conductive mask pattern as an etch mask, the via-hole exposing the etch stop film, removing the conductive mask pattern, and forming a passivation film along a side wall of the via-hole after removing the conductive mask pattern.Type: GrantFiled: March 6, 2014Date of Patent: March 31, 2015Assignee: Samsung Electronics Co., Ltd.Inventors: Dong-Whan Ko, Jong-Sam Kim, Hong-Jae Shin, Seung-Il Bok, Sae-Il Son, Woo-Jin Jang
-
Patent number: 8993437Abstract: One or more embodiments relate to a method of making a semiconductor structure, comprising: forming a patterned metallic layer over a semiconductor substrate; forming a second layer over the patterned metallic layer; and etching the substrate.Type: GrantFiled: October 27, 2011Date of Patent: March 31, 2015Assignee: Infineon Technologies AGInventor: Manfred Engelhardt
-
Patent number: 8993438Abstract: According to one embodiment, a semiconductor device manufacturing method comprises defining a region in which absorptance of light illuminated for annealing to a substrate on which a pattern of a semiconductor integrated circuit is formed is not larger than a preset value as a coarse pattern region, locally forming a thin film that enhances light absorptance on the coarse pattern region, and annealing the substrate by illuminating light onto the substrate on which the pattern of the integrated circuit and thin film are formed.Type: GrantFiled: March 22, 2011Date of Patent: March 31, 2015Assignee: Kabushiki Kaisha ToshibaInventor: Hiroshi Ohno
-
Patent number: 8993439Abstract: A method of manufacturing a semiconductor device, including forming a molding layer; forming a damascene mask layer and mask layer on the molding layer; forming a mask layer pattern by etching the mask layer; forming a damascene pattern by partially etching the damascene mask layer; forming a damascene mask layer on the mask layer pattern to bury the damascene pattern; forming a damascene pattern partially overlapping the damascene pattern by etching the damascene mask layer and the mask layer pattern; connecting the damascene pattern and the damascene pattern by removing a portion of the mask layer pattern exposed by the damascene pattern; forming a damascene mask layer on the damascene mask layer to bury the damascene pattern; and forming a trench under the damascene patterns by etching the damascene mask layers and the molding layer using remaining portions of the mask layer pattern.Type: GrantFiled: May 23, 2014Date of Patent: March 31, 2015Assignee: Samsung Electronics Co., Ltd.Inventors: Yong-Jun Kim, Kil-Ho Lee, Ki-Joon Kim, Myoung-Su Son
-
Patent number: 8993440Abstract: A method of manufacturing a semiconductor device according to an embodiment, includes forming a wiring in a surface of a first insulating film on a semiconductor substrate, exposing the first insulating film in whose surface the wiring is formed to a plasma containing a rare gas so as to form a densified layer on the surface of the first insulating film, removing an oxide film formed on the wiring, after the densified layer is formed and forming a second insulating film on the wiring from which the oxide film is removed and on the densified layer, wherein the processes from the removal of the oxide film to the formation of the second insulating film are carried out without being atmospherically-exposed.Type: GrantFiled: July 23, 2013Date of Patent: March 31, 2015Assignee: Kabushiki Kaisha ToshibaInventors: Hideaki Masuda, Kei Watanabe, Kenichi Ootsuka
-
Patent number: 8993441Abstract: A method of forming a thin layer and a method of manufacturing a phase change memory device, the method of forming a thin layer including providing a first deposition source onto a substrate, the first deposition source not including tellurium; and providing a second deposition source onto the substrate, the second deposition source including a first tellurium precursor represented by the following Formula 1 and a second tellurium precursor represented by following the Formula 2: Te(CH(CH3)2)2??Formula 1 Ten(CH(CH3)2)2??Formula 2 wherein, in Formula 2, n is an integer greater than or equal to 2.Type: GrantFiled: February 25, 2014Date of Patent: March 31, 2015Assignee: Samsung Electronics Co., Ltd.Inventors: Do-Hyung Kim, Eun-Tae Kim, Sung-Lae Cho
-
Patent number: 8993442Abstract: Embodiments of an interconnect structure and methods for forming an interconnect structure are provided. The method includes forming a low-k dielectric layer over a substrate, forming an opening in the low-k dielectric layer, forming a conductor in the opening, forming a capping layer over the conductor, and forming an etch stop layer over the capping layer and the low-k dielectric layer. The etch stop layer includes an N element with a content ratio not less than about 25 at %.Type: GrantFiled: August 23, 2013Date of Patent: March 31, 2015Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Po-Cheng Shih, Joung-Wei Liou, Chih-Hung Sun, Chia Cheng Chou, Kuang-Yuan Hsu
-
Thin film structures and devices with integrated light and heat blocking layers for laser patterning
Patent number: 8993443Abstract: Selective removal of specified layers of thin film structures and devices, such as solar cells, electrochromics, and thin film batteries, by laser direct patterning is achieved by including heat and light blocking layers in the device/structure stack immediately adjacent to the specified layers which are to be removed by laser ablation. The light blocking layer is a layer of metal that absorbs or reflects a portion of the laser energy penetrating through the dielectric/semiconductor layers and the heat blocking layer is a conductive layer with thermal diffusivity low enough to reduce heat flow into underlying metal layer(s), such that the temperature of the underlying metal layer(s) does not reach the melting temperature, Tm, or in some embodiments does not reach (Tm)/3, of the underlying metal layer(s) during laser direct patterning.Type: GrantFiled: August 8, 2012Date of Patent: March 31, 2015Assignee: Applied Materials, Inc.Inventors: Daoying Song, Chong Jiang, Byung-Sung Leo Kwak, Joseph G. Gordon, II -
Patent number: 8993444Abstract: Embodiments of the present invention generally relate to methods for lowering the dielectric constant of low-k dielectric films used in semiconductor fabrication. In one embodiment, a method for lowering the dielectric constant (k) of a low-k silicon-containing dielectric film, comprising exposing a porous low-k silicon-containing dielectric film to a hydrofluoric acid solution and subsequently exposing the low-k silicon-containing dielectric film to a silylation agent. The silylation agent reacts with Si—OH functional groups in the porous low-k dielectric film to increase the concentration of carbon in the low-k dielectric film.Type: GrantFiled: June 18, 2013Date of Patent: March 31, 2015Assignee: Applied Materials, Inc.Inventors: Kelvin Chan, Jin Xu, Kang Sub Yim, Alexandros T. Demos
-
Patent number: 8993445Abstract: Methods are provided for facilitating fabricating a semiconductor device by selectively etching a gate structure sidewall(s) to facilitate subsequent sidewall spacer isolation. The method includes, for instance: providing a gate structure with a protective layer(s) over the gate structure, the gate structure including one or more sidewalls; selectively removing a portion of the gate structure along at least one sidewall to partially undercut the protective layer(s); and forming a sidewall spacer(s) over the sidewall(s) of the gate structure, with a portion of the sidewall spacer at least partially filling the partial undercut of the protective layer(s), and residing below the protective layer(s). In certain embodiments, the selectively removing includes implanting the sidewall(s) with a dopant to produce a doped region(s) of the gate structure, and subsequently, at least partially removing the doped region(s) of the gate structure selective to an undoped region of the gate structure.Type: GrantFiled: January 14, 2013Date of Patent: March 31, 2015Assignee: GLOBALFOUNDRIES Inc.Inventors: Dae-Han Choi, Dae Geun Yang, Chang Ho Maeng, Wontae Hwang
-
Patent number: 8993446Abstract: A method for flowable oxide deposition is provided. An oxygen source gas is increased as a function of time or film depth to change the flowable oxide properties such that the deposited film is optimized for gap fill near a substrate surface where high aspect ratio shapes are present. The oxygen gas flow rate increases as the film depth increases, such that the deposited film is optimized for planarization quality at the upper regions of the deposited film.Type: GrantFiled: April 23, 2013Date of Patent: March 31, 2015Assignee: GLOBALFOUNDRIES Inc.Inventors: Hung-Wei Liu, Tsung-Liang Chen, Huang Liu, Zhiguo Sun
-
Patent number: 8993447Abstract: An apparatus includes a wafer with a number of openings therein. For each opening, an LED device is coupled to a conductive carrier and the wafer in a manner so that each of the coupled LED device and a portion of the conductive carrier at least partially fill the opening. A method of fabricating an LED device includes forming a number of openings in a wafer. The method also includes coupling light-emitting diode (LED) devices to conductive carriers. The LED devices with conductive carriers at least partially fill each of the openings.Type: GrantFiled: April 8, 2013Date of Patent: March 31, 2015Assignee: TSMC Solid State Lighting Ltd.Inventors: Hsing-Kuo Hsia, Chih-Kuang Yu, Hung-Yi Kuo, Chyi Shyuan Chern
-
Patent number: 8993448Abstract: A method of forming a plurality of nanotubes is disclosed. Particularly, a substrate may be provided and a plurality of recesses may be formed therein. Further, a plurality of nanotubes may be formed generally within each of the plurality of recesses and the plurality of nanotubes may be substantially surrounded with a supporting material. Additionally, at least some of the plurality of nanotubes may be selectively shortened and at least a portion of the at least some of the plurality of nanotubes may be functionalized. Methods for forming semiconductor structures intermediate structures, and semiconductor devices are disclosed. An intermediate structure, intermediate semiconductor structure, and a system including nanotube structures are also disclosed.Type: GrantFiled: November 26, 2013Date of Patent: March 31, 2015Assignee: Micron Technology, Inc.Inventors: Gurtej S. Sandhu, Terry L. Gilton
-
Patent number: 8993449Abstract: There is provided an etching method which can form trenches or via holes having desired aspect ratios and shapes in a to-be-processed object made of silicon. The etching method includes: a hydrogen halide-containing gas-based etching step of etching a silicon substrate by introducing a hydrogen halide-containing gas into a vacuum chamber; a fluorine-containing gas-based etching step of etching the silicon substrate by introducing a fluorine-containing gas into the vacuum chamber; a protective film formation step forming a protective film on the silicon substrate by sputtering a solid material; and a protective film removal step of removing part of the protective film by applying radio frequency bias power to a substrate electrode. The fluorine-containing gas-based etching step, the protective film formation step, and the protective film removal step are repeatedly performed in this order.Type: GrantFiled: August 12, 2010Date of Patent: March 31, 2015Assignee: Ulvac, Inc.Inventors: Yasuhiro Morikawa, Koukou Suu
-
Patent number: 8993450Abstract: Provided are methods of forming sealed via structures. One method involves: (a) providing a semiconductor substrate having a first surface and a second surface opposite the first surface; (b) forming a layer on the first surface of the substrate; (c) etching a via hole through the substrate from the second surface to the layer, the via hole having a first perimeter at the first surface; (d) forming an aperture in the layer, wherein the aperture has a second perimeter within the first perimeter; and (e) providing a conductive structure for sealing the via structure. Also provided are sealed via structures, methods of detecting leakage in a sealed device package, sealed device packages, device packages having cooling structures, and methods of bonding a first component to a second component.Type: GrantFiled: April 22, 2014Date of Patent: March 31, 2015Assignee: Nuvotronics, LLCInventor: David W Sherrer
-
Patent number: 8993451Abstract: Etch stabilizing ions (37) are introduced, e.g., by ion implantation (34), into a portion (36) of a substrate (20) underlying an etch window (24) in a masking layer (22) covering the substrate (20), where a trench (26) is desired to be formed. When the portion (36) of the substrate (20) containing the etch stabilizing ions (37) is etched to form the trench (26), the etch stabilizing ions (37) are progressively released at the etch interface (28?) as etching proceeds, substantially preventing gas micro-bubbles or other reaction products at the etch interface (28?) from disrupting etching. Using this method (700), products containing trenches (26) are much more easily formed and such trenches (26) have much smoother interior surface (28).Type: GrantFiled: April 15, 2011Date of Patent: March 31, 2015Assignee: Freescale Semiconductor, Inc.Inventors: Srivatsa G. Kundalgurki, James F. McHugh
-
Patent number: 8993452Abstract: Provided are methods of patterning metal gate structures including a high-k gate dielectric. In an embodiment, a soluble hard mask layer may be used to provide a masking element to pattern a metal gate. The soluble hard mask layer may be removed from the substrate by water or a photoresist developer. In an embodiment, a hard mask including a high-k dielectric is formed. In a further embodiment, a protection layer is formed underlying a photoresist pattern. The protection layer may protect one or more layers formed on the substrate from a photoresist stripping process.Type: GrantFiled: January 18, 2013Date of Patent: March 31, 2015Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Matt Yeh, Shun Wu Lin, Chi-Chun Chen, Ryan Chia-Jen Chen, Yi-Hsing Chen, Chien-Hao Chen, Donald Y. Chao, Kuo-Bin Huang
-
Patent number: 8993453Abstract: A method for fabricating a nonvolatile charge trap memory device and the device are described. In one embodiment, the method includes providing a substrate in an oxidation chamber, wherein the substrate comprises a first exposed crystal plane and a second exposed crystal plane, and wherein the crystal orientation of the first exposed crystal plane is different from the crystal orientation of the second exposed crystal plane. The substrate is then subjected to a radical oxidation process to form a first portion of a dielectric layer on the first exposed crystal plane and a second portion of the dielectric layer on the second exposed crystal plane, wherein the thickness of the first portion of the dielectric layer is approximately equal to the thickness of the second portion of the dielectric layer.Type: GrantFiled: September 14, 2012Date of Patent: March 31, 2015Assignee: Cypress Semiconductor CorporationInventors: Krishnaswamy Ramkumar, Jeong Byun, Sagy Levy
-
Patent number: 8993454Abstract: Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to the deposition of a boron containing amorphous carbon layer on a semiconductor substrate. In one embodiment, a boron-containing amorphous carbon film is disclosed. The boron-containing amorphous carbon film comprises from about 10 to 60 atomic percentage of boron, from about 20 to about 50 atomic percentage of carbon, and from about 10 to about 30 atomic percentage of hydrogen.Type: GrantFiled: September 16, 2013Date of Patent: March 31, 2015Assignee: Applied Materials, Inc.Inventors: Martin Jay Seamons, Sudha Rathi, Kwangduk Douglas Lee, Deenesh Padhi, Bok Hoen Kim, Chiu Chan
-
Patent number: 8993455Abstract: Atomic layer deposition (ALD) can be used to form a dielectric layer of zirconium aluminum oxynitride (ZrAlON) for use in a variety of electronic devices. Forming the dielectric layer may include depositing zirconium oxide using atomic layer deposition and precursor chemicals, followed by depositing aluminum nitride using precursor chemicals, and repeating. The dielectric layer may be used as the gate insulator of a MOSFET, a capacitor dielectric, and a tunnel gate insulator in flash memories.Type: GrantFiled: May 28, 2010Date of Patent: March 31, 2015Assignee: Micron Technology, Inc.Inventors: Kie Y. Ahn, Leonard Forbes
-
Patent number: 8993456Abstract: Provided is a method of operating a film forming apparatus capable of suppressing generation of particles by improving an adhesion of a carbon film to surfaces of members which are formed of a quartz material and contact a processing space in a processing container. The method includes forming a carbon film on each of surfaces of a plurality of objects held by a holding unit in a processing container formed of a quartz material, wherein the method further includes forming an adhesion film to improve the adhesion of the carbon film, on surfaces of members which are formed of a quartz material and contact a processing space in the processing container. Accordingly, the adhesion of the carbon film to the surface of the member formed of a quartz material contacting the processing space in the processing container is improved, thereby suppressing generation of particles.Type: GrantFiled: October 26, 2012Date of Patent: March 31, 2015Assignee: Tokyo Electron LimitedInventors: Atsushi Endo, Satoshi Mizunaga, Takehiro Otsuka
-
Patent number: 8993457Abstract: A method of fabricating a memory device is described. Generally, the method includes: forming on a surface of a substrate a dielectric stack including a tunneling dielectric and a charge-trapping layer overlying the tunneling dielectric; depositing a first cap layer comprising an oxide over the dielectric stack; forming a second cap layer comprising a nitride over the first cap layer; patterning the first and second cap layers and the dielectric stack to form a gate stack of a memory device; removing the second cap layer; and performing an oxidation process to form a blocking oxide over the charge-trapping layer, wherein the oxidation process consumes the first cap layer. Other embodiments are also described.Type: GrantFiled: September 18, 2014Date of Patent: March 31, 2015Assignee: Cypress Semiconductor CorporationInventors: Krishnaswamy Ramkumar, Hui-Mei (Mei) Shih
-
Patent number: 8993458Abstract: Methods and apparatus for improving selective oxidation against metals in a process chamber are provided herein. In some embodiments, a method of oxidizing a first surface of a substrate disposed in a process chamber having a processing volume defined by one or more chamber walls may include exposing the substrate to an oxidizing gas to oxidize the first surface; and actively heating at least one of the one or more chamber walls to increase a temperature of the one or more chamber walls to a first temperature of at least the dew point of water while exposing the substrate to the oxidizing gas.Type: GrantFiled: February 11, 2013Date of Patent: March 31, 2015Assignee: Applied Materials, Inc.Inventors: Agus Tjandra, Christopher S. Olsen, Johanes Swenberg, Lara Hawrylchak
-
Patent number: 8993459Abstract: A method comprises depositing a first portion of a first material layer on a semiconductor structure. A first run of a post-treatment process is performed for modifying at least the first portion of the first material layer. After the first run of the post-treatment process, a second portion of the first material layer is deposited. The second portion is formed of substantially the same material as the first portion. After the deposition of the second portion of the first material layer, a second run of the post-treatment process is performed for modifying at least the second portion of the first material layer.Type: GrantFiled: August 31, 2012Date of Patent: March 31, 2015Assignee: GLOBALFOUNDRIES Inc.Inventors: Carsten Grass, Martin Trentzsch, Boris Bayha, Peter Krottenthaler
-
Patent number: 8993460Abstract: Disclosed herein are methods of forming SiC/SiCN film layers on surfaces of semiconductor substrates. The methods may include introducing a silicon-containing film-precursor and an organometallic ligand transfer reagent into a processing chamber, adsorbing the silicon-containing film-precursor, the organometallic ligand transfer reagent, or both onto a surface of a semiconductor substrate under conditions whereby either or both form an adsorption-limited layer, and reacting the silicon-containing film-precursor with the organometallic ligand transfer reagent, after either or both have formed the adsorption-limited layer. The reaction results in the forming of the film layer. In some embodiments, a byproduct is also formed which contains substantially all of the metal of the organometallic ligand transfer reagent, and the methods may further include removing the byproduct from the processing chamber. Also disclosed herein are semiconductor processing apparatuses for forming SiC/SiCN film layers.Type: GrantFiled: January 10, 2013Date of Patent: March 31, 2015Assignee: Novellus Systems, Inc.Inventor: Adrien LaVoie
-
Patent number: 8993461Abstract: A method for curing defects associated with the implantation of atomic species into a semiconductor layer transferred onto a receiver substrate, wherein the semiconductor layer is thermally insulated from the receiver substrate by a low thermal conductivity layer having thermal conductivity that is lower than that of the transferred semiconductor layer. The method includes applying a selective electromagnetic irradiation to the semiconductor layer to heat that layer to a temperature lower than its temperature of fusion to cure defects without causing an increase in the temperature of the receiver substrate beyond 500° C.Type: GrantFiled: July 27, 2012Date of Patent: March 31, 2015Assignee: SoitecInventors: Ionut Radu, Christophe Gourdel, Christelle Vetizou
-
Patent number: 8993462Abstract: A building element (1) that is suitable for use as a structural element in wet areas or external docking. The building element (1) comprises a rigid substrate (2) having an upper face (3). Over the first face (3) lies a radiation curable resin (4) into which a layer of reinforcing material (5) is at least partially embedded. The reinforcing material (5) and resin (3) can be applied separately or together onto the first face (3) of the rigid substrate (2) or in some cases can be applied to both faces. An apparatus and method for producing the building sheet are also disclosed.Type: GrantFiled: April 12, 2007Date of Patent: March 31, 2015Assignee: James Hardie Technology LimitedInventors: Steven Alfred Duselis, James Gleeson, Tihomir Kascelan, David Lyons, Milton Terrence O'Chee
-
Patent number: 8993463Abstract: A flame or heat flux protective coating composition, which includes a dispersion of fiberglass, hollow glass spheres, or a combination of both in silicone. A flame or heat flux protective sheet, which includes hollow glass spheres dispersed in silicone in a sheet form or fiberglass and silicone in a sheet form, wherein the fiberglass is dispersed in the silicone or the fiberglass is a woven cloth coated with the silicone is also presented. Articles incorporating the flame or heat flux protective coating or sheet form and methods for coating an article with the flame or heat flux protective coating composition are also presented.Type: GrantFiled: April 27, 2011Date of Patent: March 31, 2015Assignee: Rutgers, The State University of New JerseyInventors: Thomas Nosker, Jennifer K. Lynch, Mark N. Mazar, Patrick L. Nosker
-
Patent number: 8993464Abstract: The invention relates to a glass ceramic comprising article, wherein the integral, non-post-processed and non-reworked glass ceramic comprising article comprises at least three different types of microstructures. The microstructures differ in the number and/or size of the crystallites contained per unit volume, and/or in the composition of the crystallites, and/or in the composition of the residual glass phases. The different microstructures are characterized by different relative ion content profiles across a cross-section perpendicular to the transition areas. The relative ion content profiles are determined from intensities which are determined using secondary ion mass spectrometry, and each of the three different types of microstructures preferably has different intensity plateaus for individual ions, wherein the individual ions are components of the main crystal phases.Type: GrantFiled: July 13, 2010Date of Patent: March 31, 2015Assignee: Schott AGInventors: Falk Gabel, Eveline Rudigier-Voigt, Christian Henn, Roland Leroux, Lorenz Strenge, Roland Dudek
-
Patent number: 8993465Abstract: Described herein are alkali-free, boroalumino silicate glasses exhibiting desirable physical and chemical properties for use as substrates in flat panel display devices, such as, active matrix liquid crystal displays (AMLCDs) and active matrix organic light emitting diode displays (AMOLEDs). In accordance with certain of its aspects, the glasses possess good dimensional stability as a function of temperature. The glasses comprise in mol percent on an oxide basis: 70-74.5 SiO2, 10.5-13.5 AL2O3, 0-2.5 B2O3, 3-7 MgO, 3-7 CaO, 0-4 SrO, 1.5-6 BaO, 0-0.3 SnO2, 0-03 CeO2, 0-0.5 As2O3, 0-0.5 Sb2O3, 0.01-0.08 Fe2O3 and F+Cl+BrRO/Al2O31.7 and 0.2MgO/RO0.45, RO being the sum of MgO, BaO, SrO and CaO.Type: GrantFiled: January 25, 2012Date of Patent: March 31, 2015Assignee: Corning IncorporatedInventors: Adam J. Ellison, Timothy J. Kiczenski
-
Patent number: 8993466Abstract: The invention relates to an alumina-based opaque ceramic, similar to ruby and having a high toughness. This ceramic comprises, by weight: 0.4% to 5% of at least from one oxide of a metal chosen from chromium, cobalt, nickel, manganese, vanadium, titanium and iron; 0.00080 to 0.5% of magnesium oxide; and 0.05 to 6% of at least one oxide of an element of the group of rare earths. The ceramic is applicable in particular in jewelry, fine jewelry and watch making. The invention also relates to methods of preparing such a ceramic.Type: GrantFiled: April 1, 2011Date of Patent: March 31, 2015Assignee: Rolex S.A.Inventors: Ollivier Pujol, Isabelle Rigot
-
Patent number: 8993467Abstract: A method of operating a continuous or semi-continuous system for a catalyst regeneration process. The system comprises a regenerator, the regenerator comprising a combustion zone and a halogenation zone. The catalyst is fed into the regenerator. A circulating regeneration gas is introduced into a regenerator circuit including oxygen, the circulating regeneration gas having a nitrogen concentration that is less than air. Oxygen from the circulating regeneration gas reacts with the coke to provide water and carbon dioxide. Water and the carbon dioxide formed in this first reaction then further react with the coke to form carbon monoxide and hydrogen.Type: GrantFiled: August 20, 2013Date of Patent: March 31, 2015Assignee: UOP LLCInventors: Bryan J. Egolf, Feng Xu, Raelynn M. Miller
-
Patent number: 8993468Abstract: This invention is for a catalyst for conversion of hydrocarbons. The catalyst is a medium pore germanium zeolite, a germanium aluminophosphate (AlPO) or a germanium silicoaluminophosphate (SAPO). At least one metal selected from Group 10 is deposited on the medium pore zeolite and, optionally on the germanium aluminophosphate (AlPO) or a germanium silicoaluminophosphate (SAPO). The catalyst is prepared by synthesizing a medium pore zeolite, an aluminophosphate (AlPO) or a silicoaluminophosphate (SAPO) with germanium incorporated into the framework and calcining the medium pore germanium zeolite, germanium aluminophosphate (AlPO) or germanium silicoaluminophosphate (SAPO). At least one metal may be deposited on the germanium zeolite, germanium aluminophosphate (AlPO) or a germanium silicoaluminophosphate (SAPO).Type: GrantFiled: May 22, 2008Date of Patent: March 31, 2015Assignee: Saudi Basic Industries CorporationInventors: Scott A. Stevenson, Alla K. Khanmamedova, Dustin B. Farmer, Scott F. Mitchell, Jim Vartuli
-
Patent number: 8993469Abstract: The present invention provides a zeolite-containing catalyst having excellent shape, fluidity and mechanical strength as a catalyst for a fluidized bed reaction. The present invention provides a zeolite-containing catalyst which is a particulate catalyst containing zeolite and silica, wherein the catalyst has an average particle diameter of 20 to 300 ?m and the ratio of the void area in the cross-section of the particle is 30% or less relative to the cross-section area of the particle.Type: GrantFiled: June 25, 2009Date of Patent: March 31, 2015Assignee: Asahi Kasei Chemicals CorporationInventors: Kenji Akagishi, Hiroyuki Yano, Ryusuke Miyazaki
-
Patent number: 8993470Abstract: Organometallic compounds of the general formula (I), in which M=Mo, W, are claimed.Type: GrantFiled: March 31, 2011Date of Patent: March 31, 2015Assignee: Studiengesellschaft Kohle mbHInventors: Alois Fuerstner, Johannes Heppekausen, Volker Hickmann, Robert Stade
-
Patent number: 8993471Abstract: In one aspect, the present invention is directed to a coating composition. The coating composition comprises photocatalytic particles and an alkali metal silicate binder comprising a boric acid, borate, or combination thereof. In another aspect, the present invention is directed to a coated article. The coated article has a photocatalytic coating with improved durability on its external surface that is formed from the aforesaid coating composition.Type: GrantFiled: December 17, 2007Date of Patent: March 31, 2015Assignee: 3M Innovative Properties CompanyInventors: Feng Bai, Rachael A. T. Gould, Mark T. Anderson
-
Patent number: 8993472Abstract: Layered catalyst structures for fuel cells, particularly for a Proton Exchange Membrane Fuel Cell (PEMFC), are produced by a reactive spray deposition technology process. The catalyst layers so produced contain particles sized between 1 and 15 nm and clusters of such particles of a catalyst selected from the group consisting of platinum, platinum alloys with transition metals, mixtures thereof and non-noble metals. The catalyst layers without an electrically conducting supporting medium exhibit dendritic microstructure, providing high electrochemically active surface area and electron conductivity at ultra-low catalyst loading. The catalyst layers deposited on an electrically conducting medium, such as carbon, exhibit three-dimensional functional grading, which provides efficient utilization as a catalyst, high PEMFC performance at the low catalyst loading, and minimized limitations caused by reactant diffusion and activation. The catalytic layers may be produced by a single-run deposition method.Type: GrantFiled: November 6, 2009Date of Patent: March 31, 2015Assignee: National Research Council of CanadaInventors: Justin Roller, Radenka Maric, Khalid Fatih, Roberto Neagu
-
Patent number: 8993473Abstract: Embodiments of the present invention include improved shaped catalyst structures containing catalytic material comprised of mixed oxides of vanadium and phosphorus and using such shaped catalyst structures for the production of maleic anhydride.Type: GrantFiled: October 7, 2009Date of Patent: March 31, 2015Assignee: Huntsman Petrochemical LLCInventors: Larry E. Melde, William A. Smith
-
Patent number: 8993474Abstract: This invention relates to a dehydrogenation catalyst having a macropore size and a high active density of platinum, suitable for use in dehydrogenation of a hydrocarbon gas. This dehydrogenation catalyst having a macropore size and a high active density of platinum is highly active, has high active density per unit catalytic surface area, facilitates material transfer of reactants and products, delays deactivation due to coke formation, keeps the initial activity constant after being regenerated thanks to the disposal of coke, has high strength and so is resistant to external impact, and undergoes neither structural changes due to heat nor changes in the properties of active materials.Type: GrantFiled: May 25, 2009Date of Patent: March 31, 2015Assignee: Hyosung CorporationInventors: Jin Soon Choi, Won Il Kim, Hyong Lim Koh, Young Gyo Choi
-
Patent number: 8993475Abstract: An excellent oxygen storage capacity is achieved even in the case used for a long period of time under high temperature conditions. An oxygen storage material contains a first particle made of a composite oxide of cerium and zirconium or a composite oxide of cerium, a rare-earth element other than cerium and zirconium, a second particle including a composite oxide of a rare-earth element, an alkaline-earth element and zirconium, and a precious metal. A part of the precious metal forms a solid solution with the composite oxide included in the second particle.Type: GrantFiled: June 22, 2007Date of Patent: March 31, 2015Assignees: Cataler Corporation, Toyota Jidosha Kabushiki KaishaInventors: Mareo Kimura, Keiichi Narita, Akimasa Hirai, Akiya Chiba, Naoto Miyoshi, Kazunobu Ishibashi, Takaaki Kanazawa, Takeru Yoshida, Hirohisa Tanaka, Mari Uenishi, Isao Tan, Masashi Taniguchi
-
Patent number: 8993476Abstract: A method for producing a dehydrogenation catalyst including an immersion step of impregnating an alumina layer of an alumina carrier with a platinum solution containing hexahydroxo platinate (IV) ions with an immersion method, wherein the alumina carrier has the alumina layer formed by anodic oxidation on at least a part of the surface of an aluminum support; and a calcination step of calcining the alumina carrier subjected to the immersion step to provide a dehydrogenation catalyst.Type: GrantFiled: October 10, 2013Date of Patent: March 31, 2015Assignee: JX Nippon Oil & Energy CorporationInventors: Nanako Obata, Atsushi Segawa, Yuichiro Hirano