Patents Issued in January 7, 2016
  • Publication number: 20160005651
    Abstract: Disclosed is a method of processing a workpiece so as to form an opening that extends from an oxide region to a base layer through a portion between the raised regions. The method includes: (1) a step of forming an opening in the oxide region to expose a second section between the raised regions; and (2) a step of etching a residue made of silicon oxide and existing within the opening and a second section. In the second step, a denatured region is formed by exposing the workpiece to plasma of a mixed gas including a hydrogen-containing gas and NF3 gas to denature the residue and the second section, and the denatured region is removed.
    Type: Application
    Filed: June 29, 2015
    Publication date: January 7, 2016
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hikaru WATANABE, Masanobu HONDA, Akihiro TSUJI
  • Publication number: 20160005652
    Abstract: Top and bottom ferrous carrier plates have a plurality of pairs of mating window cavities. Pairs of mating nonferrous pre-molded inserts with mating mold cavities snap into mating window cavities with a rubberized retainer ring therebetween to create floating mating pre-molded insert molds in multiple material carrier plate assemblies. Liquefied material is dispensed through pot bushings and plungers in each top pre-molded insert. The liquefied material flows though gate openings located in each insert top surface filling the mold cavities. The liquefied material then solidifies to its permanent shape in the mold cavities.
    Type: Application
    Filed: June 29, 2015
    Publication date: January 7, 2016
    Inventor: Gregory Arther Huber
  • Publication number: 20160005653
    Abstract: Consistent with an example embodiment, there is a method for manufacturing integrated circuit (IC) devices from a wafer substrate, the wafer substrate having a front-side surface with active devices and a back-side surface. A temporary covering to the front-side of the wafer substrate is applied. The back-side of the wafer substrate having a pre-grind thickness is ground to a post-grind thickness. To a predetermined thickness, the back-side of the wafer substrate is coated with a resilient coating. The wafer is mounted onto a second carrier tape on its back-side surface. After removing the temporary carrier tape from the front-side of the wafer substrate, the wafer is sawed along active device boundaries and active devices are singulated.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 7, 2016
    Inventors: Caroline Catharina Maria Beelen-Hendrikx, Tonny Kamphuis, Leonardus Antonius Elisabeth van Gemert
  • Publication number: 20160005654
    Abstract: Provided are a system and method for manufacturing a semiconductor package. The system includes: a laser marker configured to irradiate a first laser beam on a strip to make a mark on the strip; and a laser saw configured to irradiate a second laser beam on the strip to cut the strip into individual semiconductor packages.
    Type: Application
    Filed: March 3, 2015
    Publication date: January 7, 2016
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoon-Seok SONG, Jun-Young KO, Hae-Gu LEE
  • Publication number: 20160005655
    Abstract: In one embodiment, semiconductor die having non-rectangular shapes and die having various different shapes are formed and singulated from a semiconductor wafer.
    Type: Application
    Filed: September 10, 2015
    Publication date: January 7, 2016
    Applicant: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Gordon M. GRIVNA, Michael J. SEDDON
  • Publication number: 20160005656
    Abstract: A method includes forming Shallow Trench Isolation (STI) regions in a semiconductor substrate and a semiconductor strip between the STI regions. The method also include replacing a top portion of the semiconductor strip with a first semiconductor layer and a second semiconductor layer over the first semiconductor layer. The first semiconductor layer has a first germanium percentage higher than a second germanium percentage of the second semiconductor layer. The method also includes recessing the STI regions to form semiconductor fins, forming a gate stack over a middle portion of the semiconductor fin, and forming gate spacers on sidewalls of the gate stack. The method further includes forming fin spacers on sidewalls of an end portion of the semiconductor fin, recessing the end portion of the semiconductor fin, and growing an epitaxial region over the end portion of the semiconductor fin.
    Type: Application
    Filed: September 11, 2015
    Publication date: January 7, 2016
    Inventors: Kuo-Cheng Ching, Ting-Hung Hsu, Chao-Hsiung Wang, Chi-Wen Liu
  • Publication number: 20160005657
    Abstract: A semiconductor structure includes a bulk silicon substrate and one or more silicon fins coupled to the bulk silicon substrate. Stress-inducing material(s), such as silicon, are epitaxially grown on the fins into naturally diamond-shaped structures using a controlled selective epitaxial growth. The diamond shaped structures are subjected to annealing at about 750° C. to about 850° C. to increase an area of (100) surface orientation by reshaping the shaped structures from the annealing. Additional epitaxial material is grown on the increased (100) area. Multiple cycles of increasing the area of (100) surface orientation (e.g., by the annealing) and growing additional epitaxial material on the increased area are performed to decrease the width of the shaped structures, increasing the space between them to prevent them from merging, while also increasing their volume.
    Type: Application
    Filed: September 14, 2015
    Publication date: January 7, 2016
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Bharat KRISHNAN, Jody A. FRONHEISER, Jinping LIU, Bongki LEE
  • Publication number: 20160005658
    Abstract: A metal gate structure includes a substrate including a dense region and an iso region. A first metal gate structure is disposed within the dense region, and a second metal gate structure is disposed within the iso region. The first metal gate structure includes a first trench disposed within the dense region, and a first metal layer disposed within the first trench. The second metal gate structure includes a second trench disposed within the iso region, and a second metal layer disposed within the second trench. The height of the second metal layer is greater than the height of the first metal layer.
    Type: Application
    Filed: August 19, 2014
    Publication date: January 7, 2016
    Inventors: Shi-Xiong Lin, Chun-Lung Chen, Kun-Yuan Liao, Feng-Yi Chang, Yu-Cheng Tung
  • Publication number: 20160005659
    Abstract: Embodiments provide methods of manufacturing a semiconductor device. The method includes forming an interlayer insulating layer on a substrate; forming a plurality of contact holes penetrating the interlayer insulating layer, the plurality of contact holes arranged along a first direction and a second direction perpendicular to the first direction; and forming contacts in the contact holes, each contact hole being formed using a photo mask that is distinct from a photo mask used for forming a contact hole immediately adjacent to each contact hole in the first direction and distinct from a photo mask used for forming a contact hole immediately adjacent to each contact hole in the second direction, and top surfaces of the contacts being at a same level from the substrate.
    Type: Application
    Filed: April 22, 2015
    Publication date: January 7, 2016
    Inventor: Hyun-Seung SONG
  • Publication number: 20160005660
    Abstract: Disclosed are methods to form a FinFET diode of high efficiency, designed to resolve the degradation problem with a conventional FinFET diode arising from reduced active area, and a method of fabrication. The FinFET diode has a doped substrate, two spaced-apart groups of substantially parallel, equally-spaced, elongated semiconductor fin structures, dielectric layers formed between the two groups and among the fin structures for insulation, a plurality of substantially equal-spaced and parallel elongated gate structures perpendicularly traversing both groups of the fin structures, and two groups of semiconductor strips respectively formed lengthwise upon the two groups of the fin structures. The two groups of semiconductor strips are doped to have opposite conductivity types, p-type and n-type. The FinFET diode further has metal contacts formed upon the semiconductor strips. In an embodiment, the semiconductor strips may be integrally formed with the fin structures by epitaxial growth and in-situ doped.
    Type: Application
    Filed: July 6, 2015
    Publication date: January 7, 2016
    Inventors: Hsueh-Shih Fan, Sun-Jay Chang, Chia-Hsin Hu, Min-Chang Liang, Shien-Yang Wu, Wen-Hsing Hsieh, Ching-Fang Huang
  • Publication number: 20160005661
    Abstract: According to one embodiment, a pattern formed through light exposure is observed under two or more different optical conditions, and a focus shift and exposure amount in the light exposure are estimated based on a brightness value of the pattern under each of the optical conditions.
    Type: Application
    Filed: September 11, 2014
    Publication date: January 7, 2016
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Nobuhiro KOMINE, Yoshimitsu KATO, Kazufumi SHIOZAWA
  • Publication number: 20160005662
    Abstract: Embodiments of the disclosure provide apparatus and methods for localized stress modulation for overlay and edge placement error (EPE) using electron or ion implantation. In one embodiment, a process for correcting overlay error on a substrate generally includes performing a measurement process in a metrology tool on a substrate to obtain a substrate distortion or an overlay error map, determining doping parameters to correct overlay error or substrate distortion based on the overlay error map, and providing a doping recipe to a doping apparatus based on the doping parameters determined to correct substrate distortion or overlay error. Embodiments may also provide performing a doping treatment process on the substrate using the determined doping repair recipe, for example, by comparing the overlay error map or substrate distortion with a database library stored in a computing system.
    Type: Application
    Filed: June 10, 2015
    Publication date: January 7, 2016
    Inventors: Ellie Y. YIEH, Huixiong DAI, Srinivas D. NEMANI, Ludovic GODET, Christopher Dennis BENCHER
  • Publication number: 20160005663
    Abstract: A leadframe strip includes a plurality of unit leadframes connected to a periphery of the leadframe strip, each unit leadframe having a die paddle, a plurality of leads and a semiconductor die attached to the die paddle. The leadframe strip is tested by electrically isolating at least the leads from the periphery of the leadframe strip such that at least some of the leads extend uninterrupted beyond a final lead outline of the unit leadframes after electrical isolation from the periphery of the leadframe strip. The semiconductor dies are tested, which includes probing the die paddles and the leads that extend uninterrupted beyond the final lead outline of the unit leadframes after electrical isolation from the periphery of the leadframe strip. The unit leadframes are severed from the leadframe strip along the final lead outline of the unit leadframes after testing the semiconductor dies.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 7, 2016
    Inventors: Nee Wan Khoo, Lay Yeap Lim, Tian San Tan
  • Publication number: 20160005664
    Abstract: Provided is a method of measuring a recombination lifetime of a silicon substrate, which is capable of evaluating metal contamination and crystal defects in a silicon substrate manufacturing process and a device manufacturing process with high accuracy. The method includes: measuring a recombination lifetime of a silicon substrate after subjecting a surface of the silicon substrate to chemical passivation processing; and performing ultraviolet protection processing of protecting at least the silicon substrate from ultraviolet rays during a period from the chemical passivation processing to a time when the measurement of the recombination lifetime is completed.
    Type: Application
    Filed: December 27, 2013
    Publication date: January 7, 2016
    Inventor: Hiroshi Takeno
  • Publication number: 20160005665
    Abstract: Reading reliability of a code formed in a semiconductor device is improved. A manufacturing method of semiconductor devices according to one embodiment includes a step of forming a sealing body MR in a plurality of device regions DVP with a code (first identification information) MK3 being formed outside the device regions DVP of a wiring substrate. Also, the manufacturing method of semiconductor devices according to one embodiment includes a step of, after forming the sealing body MR, reading the code MK3 and affixing another code (second identification information) to the sealing body MR. Further, before the step of forming the sealing body MR, a dam part DM is formed between a marking region MKR in which the code MK3 is formed and the device regions DVP.
    Type: Application
    Filed: June 13, 2015
    Publication date: January 7, 2016
    Inventors: Masakatsu Suzuki, Koji Saito, Mamoru Otake
  • Publication number: 20160005666
    Abstract: An endpoint booster transports an optical signal from inside of a plasma etch chamber through a viewport to an optical cable outside of the plasma etch chamber. The optical signal is analyzed to determine an endpoint of a plasma process. The endpoint booster inhibits process byproducts from accumulating on the viewport during the plasma process, which increases the time between chamber cleanings. The reduction in chamber downtime for cleaning increases production throughput.
    Type: Application
    Filed: May 28, 2015
    Publication date: January 7, 2016
    Inventors: Elena Becerra Woodard, Daniel Kwadwo Amponsah Berkoh, Kelly Yuji Kimura
  • Publication number: 20160005667
    Abstract: A method includes performing a chemical-mechanical planarization (CMP) on an article, providing a polishing fluid including luminescent particles capable of generating a fluorescent light in response to a light incident on the article, and detecting an intensity of the fluorescent light. An apparatus that is capable of performing the method and a system that includes the apparatus are also disclosed.
    Type: Application
    Filed: September 16, 2015
    Publication date: January 7, 2016
    Inventor: I-SHUO LIU
  • Publication number: 20160005668
    Abstract: Methods and systems of accurately dispensing a viscous fluid onto a substrate. In an embodiment, a method includes using an electronic flow meter device to produce electrical flow meter output signals and performing a responsive control function in a closed loop manner by adjusting at least one dispensing parameter to correct for a difference between an output data set and a reference data set. In another embodiment, a system includes a control operatively coupled to a gas flow meter device and to a weigh scale allowing for a density of an amount of viscous material to be determined. In another embodiment, a method includes using a control coupled to both a gas flow meter device and a weigh scale and performing a responsive control function in a closed loop manner by adjusting at least one dispensing parameter using gas flow meter output signals and weigh scale output signals.
    Type: Application
    Filed: September 16, 2015
    Publication date: January 7, 2016
    Inventors: Joseph E. Donner, Michael Gorman, Christopher L. Giusti, Alan R. Lewis, Horatio Quinones, Thomas L. Ratledge, Yuriy Suhinin
  • Publication number: 20160005669
    Abstract: A method of forming a shallow trench isolation (STI) structure in a substrate includes forming a pad oxide layer over the substrate. The method includes forming a nitride-containing layer over the pad oxide layer, wherein the nitride-containing layer has a first thickness. The method further includes forming the STI structure extending through the nitride-containing layer, into the substrate. The STI structure has a height above a top surface of the pad oxide layer. The method includes establishing a correlation between the first thickness, the height of the STI structure above the top surface of the pad oxide layer, and an offset between the first thickness and the height of the STI structure above the top surface of the pad oxide layer. The method includes calculating the height of the STI structure above the pad oxide layer based on the correlation, and selectively removing a determined thickness of the STI structure.
    Type: Application
    Filed: September 18, 2015
    Publication date: January 7, 2016
    Inventors: Tai-Yung YU, Hui Mei JAO, Jin-Lin LIANG, Chien-Hua LI, Cheng-Long TAO, Shian Wei MAO, Chien-Chang FANG
  • Publication number: 20160005670
    Abstract: A semiconductor device includes a supporting plate including a first surface, a second surface opposite to the first surface, and a through hole extending from the first surface to the second surface; and a semiconductor unit fixed to the first surface. The semiconductor unit includes an insulating plate, a circuit plate fixed to a front surface of the insulating plate, a semiconductor chip fixed to the circuit plate, and a protruding metal block fixed to a rear surface of the insulating plate and penetrating through the through hole to extend to the second surface.
    Type: Application
    Filed: September 11, 2015
    Publication date: January 7, 2016
    Inventor: Yuuji IIZUKA
  • Publication number: 20160005671
    Abstract: The present invention is intended to increase the moisture resistance of a resin-sealed electronic control device. The resin-sealed electronic control device includes: a semiconductor chip; a chip capacitor; a chip resistor; a bonding member; a substrate; a case; a heat radiating plate; a glass coating; and a first sealing material. The glass coating directly covers the electronic circuit formed by the element group including: the semiconductor chip; the chip capacitor; and the chip resistor, the bonding member and the substrate, and is sealed by the first sealing material. By being water impermeable, the glass coating prevents water absorption in the vicinity of the element group, and can prevent an increase in the leak current of the semiconductor chip due to water absorption, and an insulation performance drop such as lowered insulation resistance caused by migration within the element group.
    Type: Application
    Filed: February 22, 2013
    Publication date: January 7, 2016
    Applicant: HITACHI, LTD.
    Inventors: Nobutake TSUYUNO, Hiroshi HOZOJI, Takashi NAITO, Motomune KODAMA, Masanori MIYAGI, Takuya AOYAGI
  • Publication number: 20160005672
    Abstract: Some embodiments relate to an electronic package. The electronic package includes a substrate and a die attached to the substrate. The electronic package further includes an underfill positioned between the die and the substrate due to capillary action. A support surrounds the die. The support provides the same beneficial fillet geometry on all die edges. Therefore, the support provides similar stress reduction on all die edges. Other embodiments relate to method of fabricating an electronic package. The method includes attaching a die to a substrate and inserting an underfill between the die and the substrate using capillary action. The method further includes placing a support around the die such that the support surrounds the die.
    Type: Application
    Filed: July 3, 2014
    Publication date: January 7, 2016
    Inventors: Manish Dubey, Rajendra C. Dias, Patrick Nardi, David Woodhams
  • Publication number: 20160005673
    Abstract: In an embodiment, an electronic component includes a dielectric core layer having a thickness, at least one semiconductor die embedded in the dielectric core layer and electrically coupled to at least one contact pad arranged on a first side of the dielectric core layer, and a heat dissipation layer arranged on a second side of the dielectric core layer and thermally coupled to the semiconductor die. The semiconductor die has a thickness that is substantially equal to, or greater than, or equal to the thickness of the dielectric core layer. The heat dissipation layer includes a material with a substantially isotropic thermal conductivity.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 7, 2016
    Inventors: Martin Standing, Marcus Pawley
  • Publication number: 20160005674
    Abstract: An integrated circuit packaging structure includes a chip, an electrical bump, a heat dissipation bump, a lead frame, and a sealant. The chip includes an active surface and an electronic component that is formed by using a semiconductor process. The electrical bump is electrically connected to the electronic component through the active surface. The heat dissipation bump is connected to the active surface. The lead frame is electrically connected to the electrical bump. The sealant covers the chip, the lead frame, and the electrical bump, wherein the heat dissipation bump and a part of the lead frame are exposed without being covered. The height of the heat dissipation bump relative to the active surface is unequal to that of the electrical bump relative to the active surface.
    Type: Application
    Filed: October 22, 2014
    Publication date: January 7, 2016
    Inventors: Ya Tzu WU, Yu Lin Yang
  • Publication number: 20160005675
    Abstract: A double sided cooling chip package is provided, wherein the package comprises a first heat sink; a second heat sink; a stacked chip arrangement comprising a first electronic chip, a second electronic chip and an interfacing substrate arranged between the first electronic chip and the second electronic chip and comprising electric circuitry on at least one main surface, wherein one of the first electronic chip and the second electronic chip is electrically connected to the electric circuitry of the interfacing substrate; and wherein the first electronic chip is attached to the first heat sink and the second electronic chip is attached to the second heat sink.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 7, 2016
    Inventor: Chong Yee TONG
  • Publication number: 20160005676
    Abstract: A semiconductor device 1 includes a thermal radiation member 4; a first semiconductor chip 21 connected to the thermal radiation member 4; a second semiconductor chip 22 connected to the thermal radiation member 4; and sealing resin 93 sealing the first semiconductor chip 21 and the second semiconductor chip 22. The semiconductor device 1 comprises a first thermal diffusion member 31 connected to the thermal radiation member 4; a second thermal diffusion member 32 connected to the thermal radiation member 4; and a cooler 5 configured to cool the first thermal diffusion member 31 and the second thermal diffusion member 32. A space between the first thermal diffusion member 31 and the second thermal diffusion member 32 is positioned to oppose a space between the first semiconductor chip 21 and the second semiconductor chip 22 via the thermal radiation member 4.
    Type: Application
    Filed: May 8, 2015
    Publication date: January 7, 2016
    Inventor: Norimune ORIMOTO
  • Publication number: 20160005677
    Abstract: A thermally conductive sheet includes a thermosetting resin and an inorganic filler material. When a pore diameter distribution is measured through mercury intrusion technique for the inorganic filler material, a pore diameter distribution curve of the inorganic filler material has a first maximum value in the range where the pore diameter R is greater than or equal to 0.1 ?m and less than or equal to 5.0 ?m, and a second maximum value in the range where the pore diameter R is greater than or equal to 10 ?m and less than or equal to 30 ?m, and the difference between a second pore diameter at the second maximum value and a first pore diameter at the first maximum value is greater than or equal to 9.9 ?m and less than or equal to 25 ?m.
    Type: Application
    Filed: July 1, 2015
    Publication date: January 7, 2016
    Applicant: SUMITOMO BAKELITE CO., LTD.
    Inventors: Shunsuke Mochizuki, Kazuya Kitagawa, Yoji Shirato, Keita Nagahashi, Mika Tsuda, Kazuya Hirasawa, Motomi Kurokawa
  • Publication number: 20160005678
    Abstract: An electronic device includes a chip and a support element which supports the chip. Leads are provided to be electrically coupled to at least one terminal of the chip. A coupling element is mounted to a free region of the support element that is not occupied by the chip. The coupling element includes a conductive portion electrically connected to at least one lead and to the at least one terminal of the chip to obtain an electrical coupling.
    Type: Application
    Filed: June 19, 2015
    Publication date: January 7, 2016
    Applicant: STMicroelectronics S.r.l.
    Inventors: Pierangelo Magni, Roberto Rossi
  • Publication number: 20160005679
    Abstract: Consistent with an example embodiment, there is a method for packaging an integrated circuit (IC) device. The method comprises attaching a lead frame to the carrier tape; the lead frame has an array of device positions on the carrier tape and pad landings surround the device positions for making electrical connections to the plurality of active device die. A plurality of active device die are mounted on the carrier tape within the array of device positions; each said active device die has bond pads, each of said active device die has been subjected to back-grinding to a prescribed thickness and has a solderable conductive surface on its underside. On the bond pads, the plurality of active devices are wire bonded to the pad landings on the lead frame. The lead frame and wire bonded active devices are encapsulated, leaving the solderable die backside and lead frame backside exposed.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 7, 2016
    Inventors: Emil Casey Israel, Leonardus Antonius Elisabeth van Gemert, Roelf Anco Jacob Groenhuis
  • Publication number: 20160005680
    Abstract: Consistent with an example embodiment, there is a method for preparing an integrated circuit (IC) device having enhanced heat dissipation. The method comprises providing a heat sink array having a top-side surface and an under-side surface; the heat sink array has die placement areas on the top-side surface. A plurality of active device die are die bonded onto the die placement areas on the heat sink array. The plurality of active device die are singulated into an individual heat sink device die having a heat sink portion attached to its underside.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 7, 2016
    Inventors: Emil Casey Israel, Leonardus Antonius Elisabeth van Gemert, Tonny Kamphuis
  • Publication number: 20160005681
    Abstract: A semiconductor package includes a frame formed of a metal and including multiple grooves formed in a surface, and, a semiconductor chip connected with the surface of the frame. A semiconductor device includes the semiconductor chip, and a base frame formed of copper and bonded to the bottom face of the semiconductor chip. In addition, the semiconductor chip and the base frame are bonded together by surface activation.
    Type: Application
    Filed: June 19, 2015
    Publication date: January 7, 2016
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Atsushi KUROSU, Tetsuya YOKOI
  • Publication number: 20160005682
    Abstract: A method and apparatus are provided for manufacturing a lead frame based thermally enhanced flip chip package with an exposed heat spreader lid array (310) designed for direct attachment to an array of integrated circuit die (306) by including a thermal interface adhesion layer (308) to each die (306) and encapsulating the attached heat spreader lid array (310) and array of integrated circuit die (306) with mold compound (321) except for planar upper lid surfaces of the heat spreader lids (312).
    Type: Application
    Filed: September 10, 2015
    Publication date: January 7, 2016
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: George R. Leal, Tim V. Pham
  • Publication number: 20160005683
    Abstract: A printed circuit board for a semiconductor package including a printed circuit board body, a plurality of ball lands on one surface of the printed circuit board body, a first plating layer on a portion of each of the ball lands, and a second plating layer on another portion of each of the ball lands may be provided. An upper surface of the first plating layer may be coplanar with an upper surface of the second plating layer.
    Type: Application
    Filed: June 24, 2015
    Publication date: January 7, 2016
    Inventor: Hai LIU
  • Publication number: 20160005684
    Abstract: In an embodiment, an electronic component includes a dielectric core layer, one or semiconductor dies comprising a first major surface, a first electrode arranged on the first major surface and a second major surface that opposes the first major surface. One or more slots are arranged within the dielectric core layer adjacent the semiconductor die and a redistribution structure electrically couples the first electrode to a component contact pad arranged adjacent the second major surface of the semiconductor die. The semiconductor die is embedded in the dielectric core layer and a portion of the redistribution structure is arranged on side walls of the slot.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 7, 2016
    Inventors: Martin Standing, Marcus Pawley
  • Publication number: 20160005685
    Abstract: A wiring substrate includes a wiring layer. Metal posts are arranged on the wiring layer. The metal posts are used to mount an electronic component. A protective layer covers a surface of the wiring layer on which the metal posts are arranged. The wiring layer includes a seed layer and a metal plating layer. The metal plating layer has a size that is the same as that of the seed layer in a plan view. The metal posts each include an upper end, which projects from the protective layer, and a lower end, which has a width that is the same as that of the upper end or greater. The protective layer includes a fillet for each metal post. The fillet extends toward an upper end surface of the corresponding metal post and contacts a side surface of the corresponding metal posts.
    Type: Application
    Filed: June 29, 2015
    Publication date: January 7, 2016
    Inventor: Takahiro ROKUGAWA
  • Publication number: 20160005686
    Abstract: A 4D device comprises a 2D multi-core logic and a 3D memory stack connected through the memory stack sidewall using a fine pitch T&J connection. The 3D memory in the stack is thinned from the original wafer thickness to no remaining Si. A tounge and groove device at the memory wafer top and bottom surfaces allows an accurate stack alignment. The memory stack also has micro-channels on the backside to allow fluid cooling. The memory stack is further diced at the fixed clock-cycle distance and is flipped on its side and re-assembled on to a template into a pseudo-wafer format. The top side wall of the assembly is polished and built with BEOL to fan-out and use the T&J fine pitch connection to join to the 2D logic wafer. The other side of the memory stack is polished, fanned-out, and bumped with C4 solder. The invention also comprises a process for manufacturing the device.
    Type: Application
    Filed: September 17, 2015
    Publication date: January 7, 2016
    Applicant: International Business Machines Corporation
    Inventors: Roy R. YU, Wilfried HAENSCH
  • Publication number: 20160005687
    Abstract: An electronic RF power device includes a transistor chip, a device input terminal and a device output terminal. Further, the electronic RF power device includes an output impedance transformation circuit, an output contact clip bonded to the transistor chip and to the output device terminal and at least one bond wire bonded to the output impedance transformation circuit and to the transistor chip.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 7, 2016
    Inventors: Eljurey Azcarraga Fajardo, Siti Maznah Abdul Rahim, Victor dela cruz Del Rosario, Xavier Arokiasamy, Vittal Raja Manikam
  • Publication number: 20160005688
    Abstract: A semiconductor device of the disclosure comprises: a first wiring disposed on a semiconductor substrate; a first insulating film disposed on the first wiring; a first via disposed in the first insulating film so as to be connected to the first wiring; a second wiring disposed on the first insulating film so as to be connected to the first wiring through the first via; a first organic insulating film disposed on the second wiring; a second via disposed in the first organic insulating film so as to be connected to the second wiring; a third wiring disposed on the first organic insulating film so as to be connected to the second wiring through the second via; and a second organic insulating film disposed on the first organic insulating film. A pad opening portion through which the third wiring is exposed is provided in the second organic insulating film, and the first via, the second via, the second wiring, and the third wiring are made of metal whose main component is copper.
    Type: Application
    Filed: September 15, 2015
    Publication date: January 7, 2016
    Inventors: HIROSHIGE HIRANO, KAZUHIRO KAIBARA
  • Publication number: 20160005689
    Abstract: An interconnect structure and a method of forming an interconnect structure are disclosed. The interconnect structure includes a low-k (LK) dielectric layer over a substrate; a first conductive feature in the LK dielectric layer, wherein the first conductive feature has a first sidewall, a second sidewall facing the first sidewall, and a first bottom surface contacting the LK dielectric layer; a first dielectric feature along an upper portion of the first sidewall, wherein a length of the first dielectric feature is at least 10 percent less than a length of the first sidewall; and a second dielectric feature along an upper portion of the second sidewall. The interconnect structure may also include a second conductive feature adjacent to the first conductive feature in the LK dielectric layer.
    Type: Application
    Filed: September 16, 2015
    Publication date: January 7, 2016
    Inventors: Chien-Chih Chiu, Ming-Chung Liang
  • Publication number: 20160005690
    Abstract: An electrical fuse device is disclosed. A circuit apparatus can include the fuse device, a first circuit element and a second circuit element. The fuse includes a first contact that has a first electromigration resistance, a second contact that has a second electromigration resistance and a metal line, which is coupled to the first contact and to the second contact, that has a third electromigration resistance that is lower than the second electromigration resistance. The first circuit element is coupled to the first contact and the second circuit element coupled to the second contact. The fuse is configured to conduct a programming current from the first contact to the second contact through the metal line. Further, the programming current causes the metal line to electromigrate away from the second contact to electrically isolate the second circuit element from the first circuit element.
    Type: Application
    Filed: September 15, 2015
    Publication date: January 7, 2016
    Inventors: BAOZHEN LI, YAN ZUN LI, KEITH KWONG HON WONG, CHIH-CHAO YANG
  • Publication number: 20160005691
    Abstract: The present disclosure relates to a method of forming a BEOL metallization layer that uses different conductive materials (e.g., metals) to fill different size openings in an inter-level dielectric layer, and an associated apparatus. In some embodiments, the present disclosure relates to an integrated chip having a first plurality of metal interconnect structures disposed within a first BEOL metallization layer, which include a first conductive material. The integrated chip also has a second plurality of metal interconnect structures disposed within the first BEOL metallization layer at positions laterally separated from the first plurality of metal interconnect structures. The second plurality of metal interconnect structures have a second conductive material that is different than the first conductive material.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 7, 2016
    Inventors: Hsiang-Wei Liu, Tai-I Yang, Cheng-Chi Chuang, Tien-Lu Lin
  • Publication number: 20160005692
    Abstract: A metallization layer including a fully clad interconnect and a method of forming a fully clad interconnect. An opening is formed in a dielectric layer, wherein the dielectric layer has a surface and the opening includes walls and a bottom. A diffusion barrier layer and an adhesion layer are deposited on the dielectric layer. An interconnect material is deposited on the dielectric layer and reflowed into the opening forming an interconnect. An adhesion capping layer and diffusion barrier capping layer are deposited over the interconnect. The interconnect is surrounded by the adhesion layer and the adhesion capping layer and the adhesion layer and the adhesion capping layer are surrounded by the diffusion barrier layer and the diffusion capping layer.
    Type: Application
    Filed: September 16, 2015
    Publication date: January 7, 2016
    Applicant: INTEL CORPORATION
    Inventors: MANISH CHANDHOK, HUI JAE YOO, CHRISTOPHER J. JEZEWSKI, RAMANAN V. CHEBIAM, COLIN T. CARVER
  • Publication number: 20160005693
    Abstract: Some embodiments include a construction having conductive structures spaced from one another by intervening regions. Insulative structures are within the intervening regions. The insulative structures include dielectric spacers and air gaps between the dielectric spacers. Dielectric capping material is over the air gaps. The dielectric capping material is between the dielectric spacers and not over upper surfaces of the dielectric spacers. Some embodiments include a construction having a first conductive structure with an upper surface, and having a plurality of second conductive structures electrically coupled with the upper surface of the first conductive structure and spaced from one another by intervening regions. Air gap/spacer insulative structures are within the intervening regions. The air gap/spacer insulative structures have dielectric spacers along sidewalls of the second conductive structures and air gaps between the dielectric spacers. Dielectric capping material is over the air gaps.
    Type: Application
    Filed: July 1, 2014
    Publication date: January 7, 2016
    Inventors: Ashim Dutta, Mohd Kamran Akhtar, Shane J. Trapp
  • Publication number: 20160005694
    Abstract: A semiconductor package structure includes a first wafer and a second wafer. The first wafer has a concave portion. The concave portion has a bottom surface and at least one sidewall adjacent to the bottom surface. An obtuse angle is formed between the bottom surface and the sidewall. The second wafer is disposed on the first wafer and has a protruding portion. When the protruding portion enters an opening of the concave portion, the protruding portion slides along the sidewall to the bottom surface, such that the protruding portion is coupled to the concave portion.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 7, 2016
    Inventors: Ting-Ying CHIEN, I-Shi WANG, Jen-Hao LIU, Ren-Dou LEE
  • Publication number: 20160005695
    Abstract: A method for fabricating a package structure is provided, which includes the steps of: providing a base portion having at least an electronic element embedded therein and at least a positioning unit formed around a periphery of the electronic element, wherein the positioning unit protrudes from or is flush with a surface of the base portion; and forming at least a circuit layer on the surface of the base portion and the electronic element. The circuit layer is aligned and connected to the electronic element through the positioning unit.
    Type: Application
    Filed: August 28, 2014
    Publication date: January 7, 2016
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Rui-Feng Tai, Hsiao-Chun Huang, Chun-Hung Lu, Hsi-Chang Hsu, Shih-Ching Chen
  • Publication number: 20160005696
    Abstract: One semiconductor device includes a wiring substrate, a semiconductor chip layered on one face of the wiring substrate and having a first face facing the wiring substrate and a second face positioned on a reverse side from the first face, a circuit being formed on at least the second face, a non-circuit-incorporating chip in which a circuit is not formed, the non-circuit-incorporating chip being layered on the second face of the semiconductor chip, and a sealing resin disposed between at least the wiring substrate and the non-circuit-incorporating chip.
    Type: Application
    Filed: February 12, 2014
    Publication date: January 7, 2016
    Inventor: Atsushi TOMOHIRO
  • Publication number: 20160005697
    Abstract: Provided are a semiconductor chip, a semiconductor package and a fabricating method thereof, which can reduce or prevent cracks from being generated or propagated due to an external pressure. The semiconductor chip includes a semiconductor substrate including a first region and a second region, a plurality of interlayer insulation layers formed on the semiconductor substrate, a first crack stopper formed in the plurality of interlayer insulation layers of the first region, an interconnector formed in the plurality of interlayer insulation layers of the second region, a pad wire formed on the plurality of interlayer insulation layers, electrically connected to the interconnector in the second region and extending to the first region, a bonding pad on the plurality of interlayer insulation layers of the first region, electrically connected to the pad wire, and a protection layer covering the pad wire and exposing the bonding pad.
    Type: Application
    Filed: February 13, 2015
    Publication date: January 7, 2016
    Inventors: Hyun-Pil Noh, Jeong-Woon Kim, Seok-Ha Lee
  • Publication number: 20160005698
    Abstract: A semiconductor package is provided. The semiconductor includes a lower package and an upper package stacked on the lower package. The lower package includes a package substrate, a semiconductor chip, a mold layer and a residential stress layer. The package substrate has upper and lower surfaces. The semiconductor chip is disposed on the upper surface of the package substrate. The mold layer encapsulates the semiconductor chip. The residual stress layer is disposed on the semiconductor chip. The residual stress layer includes a plastically deformed surface. The residual stress layer has a residual stress to counterbalance warpage of the lower package.
    Type: Application
    Filed: May 15, 2015
    Publication date: January 7, 2016
    Inventor: YOUNGBAE KIM
  • Publication number: 20160005699
    Abstract: The method includes the steps of: providing a lead frame, including providing a concaved part in an upper face of a joint part of a die-pad-support lead of a lead frame for setting down a die pad and a tie-bar; bonding a semiconductor chip to a first principal face of the die pad via an adhesive-member layer; then, setting the lead frame between first and second molding dies having first and second cavities respectively so that the first and second cavities are opposed to each other, and the second principal face of the die pad faces toward the second cavity; and forming first and second resin sealed bodies on the sides of the first and second principal faces of the die pad respectively by resin sealing with the first and second molding dies clamping the tie-bar and a part of the lead frame surrounding the tie-bar.
    Type: Application
    Filed: September 17, 2015
    Publication date: January 7, 2016
    Inventors: Yusuke OTA, Fukumi SHIMIZU
  • Publication number: 20160005700
    Abstract: Provided are methods of making a transient electronic device by fabricating one or more inorganic semiconductor components, one or more metallic conductor components or one or more inorganic semiconductor components and one or more metallic conductor components supported by a mother substrate. The components may independently comprise a selectively transformable material and, optionally, further have a preselected transience profile. The components are transfer printed, thereby decoupling the component fabrication step from additional processing to provide desired device functionality and transient properties. A substrate layer is provided on top of the components and used to facilitate handling, processing, and/or device functionality.
    Type: Application
    Filed: March 6, 2014
    Publication date: January 7, 2016
    Inventors: John A. ROGERS, SukWon HWANG, Xian HUANG