With Pretreatment Or Preparation Of A Base (e.g., Annealing) Patents (Class 117/106)
  • Patent number: 11887894
    Abstract: A method for processing a wide band gap semiconductor wafer includes: depositing a support layer including semiconductor material at a back side of a wide band gap semiconductor wafer, the wide band gap semiconductor wafer having a band gap larger than the band gap of silicon; depositing an epitaxial layer at a front side of the wide band gap semiconductor wafer; and splitting the wide band gap semiconductor wafer along a splitting region to obtain a device wafer comprising at least a part of the epitaxial layer, and a remaining wafer comprising the support layer.
    Type: Grant
    Filed: July 22, 2021
    Date of Patent: January 30, 2024
    Assignee: Infineon Technologies AG
    Inventors: Francisco Javier Santos Rodriguez, Günter Denifl, Tobias Hoechbauer, Martin Huber, Wolfgang Lehnert, Roland Rupp, Hans-Joachim Schulze
  • Patent number: 11859312
    Abstract: Provided are a method of cleaning a group III nitride single crystal substrate which enables the roughness of a nitrogen-polar face of the group III nitride single crystal substrate to be suppressed to remove foreign substances, and a method of producing a group III nitride single crystal substrate. The method of cleaning a group III nitride single crystal substrate having a group III element-polar face, and the nitrogen-polar face opposite the group III element-polar face includes: cleaning the nitrogen-polar face with a detergent including a fluoroorganic compound.
    Type: Grant
    Filed: August 17, 2022
    Date of Patent: January 2, 2024
    Assignee: TOKUYAMA CORPORATION
    Inventors: Masayuki Fukuda, Reo Yamamoto
  • Patent number: 11846040
    Abstract: A silicon carbide single crystal contains a heavy metal element having a specific gravity higher than a specific gravity of iron. An addition density of the heavy metal element at least in an outer peripheral portion of the silicon carbide single crystal is set to 1×1015 cm?3 or more.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: December 19, 2023
    Assignees: DENSO CORPORATION, CENTRAL RESEARCH INSTITUTE OF ELECTRIC POWER INDUSTRY
    Inventors: Yuichiro Tokuda, Hideyuki Uehigashi, Norihiro Hoshino, Hidekazu Tsuchida, Isaho Kamata
  • Patent number: 11824513
    Abstract: An acoustic wave device includes a piezoelectric substrate and an IDT electrode on the piezoelectric substrate. The IDT electrode includes first and second electrode fingers. When the propagation direction of acoustic waves is a first direction and the direction orthogonal or substantially orthogonal to the first direction is a second direction, an intersecting region of the IDT electrode includes a central region located toward the middle in the second direction and first and second edge regions on both sides in the second direction of the central region. The first and second electrode fingers include epitaxially grown oriented films in the central region and portions that do not include the oriented films in the first and second edge regions.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: November 21, 2023
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Katsuya Daimon, Yasumasa Taniguchi, Koji Yamamoto
  • Patent number: 11795572
    Abstract: A method of manufacturing a silicon carbide ingot, includes a preparing operation of adjusting internal space of a reactor in which silicon carbide raw materials and a seed crystal are disposed to have a high vacuum atmosphere, a proceeding operation of injecting an inert gas into the internal space, heating the internal space by moving a heater surrounding the reactor to induce the silicon carbide raw materials to sublimate, and growing the silicon carbide ingot on the seed crystal, and a cooling operation of cooling the temperature of the internal space to room temperature. The moving of the heater has a relative position which becomes more distant at a rate of 0.1 mm/hr to 0.48 mm/hr based on the seed crystal.
    Type: Grant
    Filed: May 25, 2021
    Date of Patent: October 24, 2023
    Assignee: SENIC INC.
    Inventors: Byung Kyu Jang, Jong Hwi Park, Eun Su Yang, Jung Woo Choi, Sang Ki Ko, Kap-Ryeol Ku, Jung-Gyu Kim
  • Patent number: 11772138
    Abstract: A processing method includes: disposing a workpiece in a processing container of a processing apparatus, and maintaining an inside of the processing container in a vacuum state; providing a cluster nozzle in the processing container; supplying a cluster generating gas to the cluster nozzle and adiabatically expanding the cluster generating gas in the cluster nozzle, thereby generating gas clusters; generating plasma in the cluster nozzle to ionize the gas clusters and injecting the ionized gas clusters onto the workpiece; supplying a reactive gas to the cluster nozzle and exposing the reactive gas to the plasma such that the reactive gas becomes monomer ions or radicals; and supplying the monomer ions or radicals to the processing container, thereby exerting a chemical reaction on a substance present on a surface of the workpiece.
    Type: Grant
    Filed: August 9, 2022
    Date of Patent: October 3, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuya Dobashi, Chishio Koshimizu
  • Patent number: 11708646
    Abstract: A silicon carbide single crystal manufacturing apparatus includes a crucible constituted by a crucible body and a crucible lid and a base having a crucible lid side surface supported by the lower surface of the crucible lid, and a seed crystal mounting surface on which the seed crystal is mounted and which is a surface on the opposite side of the crucible lid side surface, wherein the base is made of graphite material, the area of the seed crystal mounting surface is larger than the area of the crucible lid side surface, and the base has at least of a portion in which the cross-sectional area orthogonal to the vertical direction connecting the crucible lid side surface and the seed crystal mounting surface is gradually reduced, and a portion that is getting smaller gradually, from the surface of the seed crystal mounting surface toward the crucible lid side surface.
    Type: Grant
    Filed: December 27, 2021
    Date of Patent: July 25, 2023
    Assignee: SHOWA DENKO K.K.
    Inventor: Rimpei Kindaichi
  • Patent number: 11658029
    Abstract: A method of forming a device structure including a selectively-deposited gallium nitride layer is disclosed.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: May 23, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Sourish Banerjee, Antonius Aarnink, Alexey Kovalgin
  • Patent number: 11641713
    Abstract: A circuit board structure, including a circuit layer, a first dielectric layer, a first graphene layer, a first conductive via, and a first built-up circuit layer, is provided. The circuit layer includes multiple pads. The first dielectric layer is disposed on the circuit layer and has a first opening. The first opening exposes the pads. The first graphene layer is conformally disposed on the first dielectric layer and in the first opening, and has a first conductive seed layer region and a first non-conductive seed layer region. The first conductive via is disposed in the first opening. The first built-up circuit layer is disposed corresponding to the first conductive seed layer region. The first built-up circuit layer exposes the first non-conductive seed layer region and is electrically connected to the pads through the first conductive via and the first conductive seed layer region.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: May 2, 2023
    Assignee: Unimicron Technology Corp.
    Inventors: Chun-Hung Kuo, Kuo-Ching Chen
  • Patent number: 11469106
    Abstract: In one embodiment, this hard mask for plasma etching is formed on a silicon-containing film. The hard mask is an amorphous film, and contains tungsten and silicon. The ratio of the concentration of tungsten and the concentration of silicon in the surface of the hard mask can be within the range between a ratio specifying that the concentration of tungsten is 35 at % and the concentration of silicon is 65 at % and a ratio specifying that the concentration of tungsten is 50 at % and the concentration of silicon is 50 at %.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: October 11, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Toshima, Shinji Furukawa
  • Patent number: 11342180
    Abstract: A process for epitaxying GaSe on a [111]-oriented silicon substrate, includes a step of selecting a [111]-oriented silicon substrate resulting from cutting a silicon bar in a miscut direction which is one of the three [11-2] crystallographic directions, the miscut angle (?) being smaller than or equal to 0.
    Type: Grant
    Filed: October 5, 2020
    Date of Patent: May 24, 2022
    Assignees: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES, CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE, UNIVERSITE GRENOBLE ALPES
    Inventors: Mickaël Martin, Thierry Baron
  • Patent number: 11282704
    Abstract: Systems and methods for forming semiconductor layers, including oxide-based layers, are disclosed in which a material deposition system has a rotation mechanism that rotates a substrate around a center axis of a substrate deposition plane of the substrate. A material source that supplies a material to the substrate has i) an exit aperture with an exit aperture plane and ii) a predetermined material ejection spatial distribution from the exit aperture plane. The exit aperture is positioned at an orthogonal distance, a lateral distance, and a tilt angle relative to the center axis of the substrate. The system can be configured for either i) minimum values for the orthogonal distance and the lateral distance to achieve a desired layer deposition uniformity using a set tilt angle, or ii) the tilt angle to achieve the desired layer deposition uniformity using a set orthogonal distance and a set lateral distance.
    Type: Grant
    Filed: November 17, 2020
    Date of Patent: March 22, 2022
    Assignee: Silanna UV Technologies Pte Ltd
    Inventor: Petar Atanackovic
  • Patent number: 11245049
    Abstract: Embodiments of the present disclosure provide a method of manufacturing an optoelectronic device epitaxial structure. The method includes forming a mask pattern on a base substrate, the mask pattern defining a plurality of growth regions on the base substrate, and the plurality of growth regions being separated from each other; and forming an optoelectronic device epitaxial structure in each of the plurality of growth regions; and removing the mask pattern.
    Type: Grant
    Filed: December 13, 2019
    Date of Patent: February 8, 2022
    Inventors: Mengjun Hou, Zongmin Liu
  • Patent number: 11244826
    Abstract: A crystal growth method of the present disclosure includes: preparing a substrate having a surface layer; forming a mask pattern including a plurality of strip bodies on the surface layer to separate the surface layer into segments by the plurality of strip bodies and expose part of the surface layer; and forming, on a plurality of growth regions constituted by the exposed part of the surface layer, a crystal growth-derived layer by causing a semiconductor crystal which differs in lattice constant from the substrate to grow by a vapor-phase growth process. Each of the plurality of strip bodies has side faces inclined so that a width between the side faces gradually decreases with distance from the surface layer.
    Type: Grant
    Filed: January 22, 2019
    Date of Patent: February 8, 2022
    Assignee: KYOCERA CORPORATION
    Inventors: Takehiro Nishimura, Chiaki Doumoto
  • Patent number: 10784350
    Abstract: A first insulating layer is disposed on a second surface of a semiconductor substrate, and has an opening. A second insulating layer is disposed on the second surface and separated from the first insulating layer. A stack includes, in sequence on the second surface, a side n-type epitaxial layer and first and second p-type epitaxial layers that are made of a gallium-nitride-based material. The stack has an outer side wall having a portion formed of the second p-type epitaxial layer, an inner side wall extending from the second insulating layer, and a top surface. The n-type contact layer is disposed on the top surface. The source electrode portion is in contact with the n-type contact layer on the top surface, and is in contact with the second p-type epitaxial layer on the outer side wall. A gate insulating film is disposed on the inner side wall.
    Type: Grant
    Filed: March 8, 2017
    Date of Patent: September 22, 2020
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Tetsuro Hayashida, Takuma Nanjo
  • Patent number: 10734220
    Abstract: A method for manufacturing a silicon epitaxial wafer includes: preparing a test silicon wafer in advance, forming the multilayer film on a surface of the test silicon wafer, and measuring a warp direction and a warp amount (Warp) W of the silicon wafer having the multilayer film formed thereon; and selecting a silicon wafer as a device formation substrate and conditions for forming an epitaxial layer which is formed on the silicon wafer as the device formation substrate in such a manner that a warp which cancels out the measured warp amount W is formed in a direction opposite to the measured warp direction, and forming the epitaxial layer on a surface of the selected silicon wafer as the device formation substrate where the multilayer film is formed under the selected conditions for forming the epitaxial layer.
    Type: Grant
    Filed: August 17, 2017
    Date of Patent: August 4, 2020
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Yasushi Mizusawa
  • Patent number: 10431454
    Abstract: A semiconductor substrate and a manufacturing method thereof are provided. The semiconductor substrate includes a base, a buffer layer, a mask layer and a first GaN layer. The buffer layer is disposed on the base, wherein doped regions are disposed in a portion of the surface of the buffer layer. The mask layer is disposed on the buffer layer and located on the doped regions. The first GaN layer is disposed on the buffer layer and covers the mask layer.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: October 1, 2019
    Assignee: Nuvoton Technology Corporation
    Inventors: Fang-Chang Hsueh, Heng-Kuang Lin
  • Patent number: 10410880
    Abstract: A semiconductor device may include a semiconductor substrate having a front side and a back side opposite the front side, and a superlattice gettering layer on the front side of a semiconductor substrate. The superlattice gettering layer may include stacked groups of layers, with each group of layers including a plurality of stacked base semiconductor monolayers defining a base semiconductor portion, and at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor portions. The device may further include an active semiconductor layer on the superlattice gettering layer opposite the semiconductor substrate, at least one semiconductor circuit in the active semiconductor layer, at least one metal interconnect layer on the active layer, and at least one metal through-via extending from the at least one metal interconnect layer to the back side of the semiconductor substrate. The superlattice gettering layer may further include gettered metal ions.
    Type: Grant
    Filed: May 16, 2018
    Date of Patent: September 10, 2019
    Assignee: ATOMERA INCORPORATED
    Inventor: Hideki Takeuchi
  • Patent number: 10351969
    Abstract: A sapphire member containing sapphire as a main constituent has a main surface parallel to a c-plane of a sapphire crystal. The sapphire member includes a plurality of terrace structure layers in at least a part of the main surface. Each terrace structure layer includes a terrace surface parallel to the c-plane and a side surface in contact with an edge line of the terrace surface. When viewed in a plan in a direction perpendicular to the main surface, the part of the main surface includes a plurality of the edge lines that are curved and are not parallel to one another.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: July 16, 2019
    Assignee: KYOCERA Corporation
    Inventors: Masahiro Okumura, Shinya Kato, Yoshihide Ozaki
  • Patent number: 10319587
    Abstract: A method of manufacturing an epitaxial wafer having an epitaxial layer on a silicon-based substrate, the method of manufacturing the epitaxial wafer including epitaxially growing a semiconductor layer on the silicon-based substrate after applying terrace processing to an outer peripheral portion of the silicon-based substrate. As a result, the method of manufacturing the epitaxial wafer having the epitaxial layer on the silicon-based substrate in which an epitaxial wafer which is completely free from cracks can be obtained, is provided.
    Type: Grant
    Filed: February 10, 2015
    Date of Patent: June 11, 2019
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Kazunori Hagimoto, Masaru Shinomiya, Keitaro Tsuchiya, Hirokazu Goto, Ken Sato, Hiroshi Shikauchi, Shoichi Kobayashi, Hirotaka Kurimoto
  • Patent number: 10249492
    Abstract: A semiconductor substrate, comprising a first semiconductor material, is provided and an insulating layer is formed thereon; an opening is formed in the insulating layer. Thereby, a seed surface of the substrate is exposed. The opening has sidewalls and a bottom and the bottom corresponds to the seed surface of the substrate. A cavity structure is formed above the insulating layer, including the opening and a lateral growth channel extending laterally over the substrate. A matching array is grown on the seed surface of the substrate, including at least a first semiconductor matching structure comprising a second semiconductor material and a second semiconductor matching structure comprising a third semiconductor material. The compound semiconductor structure comprising a fourth semiconductor material is grown on a seed surface of the second matching structure. The first through fourth semiconductor materials are different from each other. Corresponding semiconductor structures are also included.
    Type: Grant
    Filed: May 27, 2016
    Date of Patent: April 2, 2019
    Assignee: International Business Machines Corporation
    Inventors: Daniele Caimi, Lukas Czornomaz, Jean Fompeyrine, Emanuele Uccelli
  • Patent number: 10153158
    Abstract: Methods are provided for fabricating semiconductor nanowires on a substrate. A nanowire template is formed on the substrate. The nanowire template defines an elongate tunnel which extends, laterally over the substrate, between an opening in the template and a seed surface. The seed surface is exposed to the tunnel and of an area up to about 2×104 nm2. The semiconductor nanowire is selectively grown, via said opening, in the template from the seed surface. The area of the seed surface is preferably such that growth of the nanowire proceeds from a single nucleation point on the seed surface. There is also provided a method for fabricating a plurality of semiconductor nanowires on a substrate and a semiconductor nanowire and substrate structure.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: December 11, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mattias Bengt Borg, Kirsten Emilie Moselund, Heike E. Riel, Heinz Schmid
  • Patent number: 10153072
    Abstract: The present invention provides a textured substrate for forming an epitaxial film, including a textured metal layer on at least one surface of the layer, the textured metal layer including a copper layer having a cube texture, the textured metal layer having, on a surface of the layer, palladium added in an amount of 10 to 300 ng/mm2 per unit area, the hydrogen content of the surface of the textured metal layer being 700 to 2000 ppm. This textured substrate is produced through a step of adding 10 to 300 ng/mm2 per unit area of palladium by strike plating to a surface of the copper layer having a cube texture.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: December 11, 2018
    Assignee: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Naoji Kashima, Tomonori Watanabe, Shigeo Nagaya, Kunihiro Shima, Shuichi Kubota, Ryosuke Suganuma
  • Patent number: 10113248
    Abstract: A group III nitride crystal substrate is provided, wherein, a uniform distortion at a surface layer of the crystal substrate is equal to or lower than 1.7×10?3, and wherein a plane orientation of the main surface has an inclination angle equal to or greater than ?10° and equal to or smaller than 10° in a [0001] direction with respect to a plane including a c axis of the crystal substrate. A group III nitride crystal substrate suitable for manufacturing a light emitting device with a blue shift of an emission suppressed, an epilayer-containing group III nitride crystal substrate, a semiconductor device and a method of manufacturing the same can thereby be provided.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: October 30, 2018
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Yusuke Yoshizumi, Shugo Minobe
  • Patent number: 10087547
    Abstract: This disclosure provides systems, methods, and apparatus related to the growth of single crystal III-V semiconductors on amorphous substrates. In one aspect, a shape of a semiconductor structure to be formed on an amorphous substrate is defined in a resist disposed on the amorphous substrate. A boron group element is deposited over the amorphous substrate. A ceramic material is deposited on the boron group element. The resist is removed from the amorphous substrate. The ceramic material is deposited to cover the boron group element. The amorphous substrate and materials deposited thereon are heated in the presence of a gas including a nitrogen group element to grow a single crystal semiconductor structure comprising the boron group element and the nitrogen group element.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: October 2, 2018
    Assignee: The Regents of the University of California
    Inventors: Kevin Chen, Rehan Kapadia, Ali Javey
  • Patent number: 10077207
    Abstract: This disclosure is directed to an improved process for making glass articles having optical coating and easy-to clean coating thereon, an apparatus for the process and a product made using the process. In particular, the disclosure is directed to a process in which the application of the optical coating and the easy-to-clean coating can be sequentially applied using a single apparatus. Using the combination of the coating apparatus and the substrate carrier described herein results in a glass article having both optical and easy-to-clean coating that have improved scratch resistance durability and optical performance, and in addition the resulting articles are “shadow free.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: September 18, 2018
    Assignee: Corning Incorporated
    Inventors: Christopher Morton Lee, Xiao-feng Lu, Michael Xu Ouyang, Junhong Zhang
  • Patent number: 10014173
    Abstract: A semiconductor single crystal structure may include a substrate; a defect trapping stack disposed on the substrate; and a semiconductor single crystal disposed on the defect trapping stack, and having a lattice mismatch with a crystal of the substrate, in which the defect trapping stack may include a first dielectric layer disposed on the substrate, and having at least one first opening, a second dielectric layer disposed on the first dielectric layer, and having at least one second opening, a third dielectric layer disposed on the second dielectric layer, and having at least one third opening, and a fourth dielectric layer disposed on the third dielectric layer, and having at least one fourth opening, and in which the semiconductor single crystal may extend to a region of the substrate defined in the at least one first opening through the at least one first to fourth opening.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: July 3, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji Eon Yoon, Chul Kim, Sang Moon Lee, Seung Ryul Lee
  • Patent number: 10002763
    Abstract: The invention relates to methods for fabricating a semiconductor substrate. In one embodiment, the method includes providing a support that includes a barrier layer thereon for preventing loss by diffusion of elements derived from dissociation of the support at epitaxial growth temperatures; providing a seed layer on the barrier layer, wherein the seed layer facilitates epitaxial growth of a single crystal III-nitride semiconductor layer thereon; epitaxially growing a nitride working layer on the thin seed layer; and removing the support to form the semiconductor substrate.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: June 19, 2018
    Assignee: Soitec
    Inventors: Fabrice Letertre, Bruno Ghyselen, Olivier Rayssac, Pierre Rayssac, Gisèle Rayssac
  • Patent number: 9991386
    Abstract: A method of manufacturing an epitaxial wafer, including a silicon substrate having a surface sliced from single-crystalline silicon and a silicon epitaxial layer deposited on the surface of the silicon substrate, includes an oxygen concentration controlling heat treatment process in which a heat treatment of the epitaxial layer is performed under a non-oxidizing atmosphere after the epitaxial growth such that an oxygen concentration of the surface of the silicon epitaxial layer is set to 1.0×1017 to 12×1017 atoms/cm3 (ASTM F-121, 1979).
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: June 5, 2018
    Assignee: SUMCO CORPORATION
    Inventors: Toshiaki Ono, Yumi Hoshino
  • Patent number: 9978590
    Abstract: A method of manufacturing an epitaxiable heat-dissipating substrate comprises the steps of (A) forming a roughened surface on a substrate made of a polycrystalline or amorphous material with a high thermal conductivity coefficient; (B) forming a flat layer on the roughened surface; and (C) forming a buffer layer on the flat layer. The flat layer reduces the surface roughness of the substrate, and then the buffer layer functions as a base for epitaxial growth, thereby being directly applicable to production of semiconductor devices which are flat and capable of isotropic epitaxial growth.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: May 22, 2018
    Assignee: NATIONAL CHUNG SHAN INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Jian-Long Ruan, Shyh-Jer Huang, Hsin-Chieh Yu, Yang-Kuo Kuo
  • Patent number: 9978582
    Abstract: A method to improve the planarity of a semiconductor wafer and an assembly made from the method. In a preferred embodiment of the method, a compressive PECVD oxide layer such as SiO2 having a predetermined thickness or pattern is deposited on the second surface of a semiconductor wafer having an undesirable warp or bow. The thickness or pattern of the deposited oxide layer is determined by the measured warp or bow of the semiconductor wafer. The compressive oxide layer induces an offsetting compressive force on the second surface of the semiconductor wafer to reduce the warp and bow across the major surface of the semiconductor wafer.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: May 22, 2018
    Assignee: Ostendo Technologies, Inc.
    Inventors: Gregory Batinica, Kameshwar Yadavalli, Qian Fan, Benjamin A. Haskell, Hussein S. El-Ghoroury
  • Patent number: 9932670
    Abstract: A method and apparatus for removing deposition products from internal surfaces of a processing chamber, and for preventing or slowing growth of such deposition products. A halogen containing gas is provided to the chamber to etch away deposition products. A halogen scavenging gas is provided to the chamber to remove any residual halogen. The halogen scavenging gas is generally activated by exposure to electromagnetic energy, either inside the processing chamber by thermal energy, or in a remote chamber by electric field, UV, or microwave. A deposition precursor may be added to the halogen scavenging gas to form a deposition resistant film on the internal surfaces of the chamber. Additionally, or alternately, a deposition resistant film may be formed by sputtering a deposition resistant metal onto internal components of the processing chamber in a PVD process.
    Type: Grant
    Filed: January 7, 2014
    Date of Patent: April 3, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jie Su, Lori D. Washington, Sandeep Nijhawan, Olga Kryliouk, Jacob Grayson, Sang Won Kang, Dong Hyung Lee, Hua Chung
  • Patent number: 9923209
    Abstract: A negative electrode and a lithium battery including the same, the negative electrode including nanotubes including a Group 14 metal/metalloid, disposed on a conductive substrate.
    Type: Grant
    Filed: September 8, 2014
    Date of Patent: March 20, 2018
    Assignees: SAMSUNG ELECTRONICS CO., LTD., INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY
    Inventors: Han-su Kim, Un-gyu Paik, Jae-man Choi, Moon-seok Kwon, Tae-seob Song, Won-il Park
  • Patent number: 9911894
    Abstract: A method for manufacturing a light-emitting diode, which includes the steps of: providing a substrate having a plurality of protruded portions on one main surface thereof wherein the protruded portion is made of a material different in type from that of the substrate and growing a first nitride-based III-V Group compound semiconductor layer on each recess portion of the substrate through a state of making a triangle in section wherein a bottom surface of the recess portion becomes a base of the triangle; laterally growing a second nitride-based III-V Group compound semiconductor layer on the substrate from the first nitride-based III-V Group compound semiconductor layer; and successively growing, on the second nitride-based III-V Group compound semiconductor layer, a third nitride-based III-V Group compound semiconductor layer of a first conduction type, an active layer, and a fourth nitride-based III-V compound semiconductor layer of a second conduction type.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: March 6, 2018
    Assignee: SONY CORPORATION
    Inventors: Akira Ohmae, Michinori Shiomi, Noriyuki Futagawa, Takaaki Ami, Takao Miyajima, Yuuji Hiramatsu, Izuho Hatada, Nobukata Okano, Shigetaka Tomiya, Katsunori Yanashima, Tomonori Hino, Hironobu Narui
  • Patent number: 9873955
    Abstract: A method for producing a SiC single crystal substrate that can remove Cr impurity from the surface of a SiC single crystal that contains Cr as an impurity, is provided. This is achieved by a method for producing a SiC single crystal substrate, wherein the method includes a step of immersing a SiC single crystal substrate containing Cr as an impurity in hydrochloric acid at 50° C. to 80° C.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: January 23, 2018
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Akinori Seki
  • Patent number: 9853000
    Abstract: To reduce warpage in at least one area of a wafer, a stress/warpage management layer (810) is formed to over-balance and change the direction of the existing warpage. For example, if the middle of the area was bulging up relative to the area's boundary, the middle of the area may become bulging downward, or vice versa. Then the stress/warpage management layer is processed to reduce the over-balancing. For example, the stress/management layer can be debonded from the wafer at selected locations, or recesses can be formed in the layer, or phase changes can be induced in the layer. In other embodiments, this layer is tantalum-aluminum that may or may not over-balance the warpage; this layer is believed to reduce warpage due to crystal-phase-dependent stresses which dynamically adjust to temperature changes so as to reduce the warpage (possibly keeping the wafer flat through thermal cycling). Other features are also provided.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: December 26, 2017
    Assignee: Invensas Corporation
    Inventor: Cyprian Emeka Uzoh
  • Patent number: 9842899
    Abstract: A semiconductor wafer can include a substrate, a poly template layer, and a semiconductor layer. The substrate has a central region and an edge region, the poly template layer is disposed along a peripheral edge of the substrate, and a semiconductor layer over the central region, wherein the semiconductor layer is monocrystalline. In an embodiment, the poly template layer and the monocrystalline layer are laterally spaced apart from each other by an intermediate region. In another embodiment, the semiconductor layer can include aluminum. A process of forming the substrate can include forming a patterned poly template layer within the edge region and forming a semiconductor layer over the primary surface. Another process of forming the substrate can include forming a semiconductor layer over the primary surface and removing a portion of the semiconductor layer so that the semiconductor layer is spaced apart from an edge of the substrate.
    Type: Grant
    Filed: December 11, 2015
    Date of Patent: December 12, 2017
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Hocine Bouzid Ziad, Peter Moens, Eddy De Backer
  • Patent number: 9840790
    Abstract: The invention provides highly transparent single crystalline AlN layers as device substrates for light emitting diodes in order to improve the output and operational degradation of light emitting devices. The highly transparent single crystalline AlN layers have a refractive index in the a-axis direction in the range of 2.250 to 2.400 and an absorption coefficient less than or equal to 15 cm-1 at a wavelength of 265 nm. The invention also provides a method for growing highly transparent single crystalline AlN layers, the method including the steps of maintaining the amount of Al contained in wall deposits formed in a flow channel of a reactor at a level lower than or equal to 30% of the total amount of aluminum fed into the reactor, and maintaining the wall temperature in the flow channel at less than or equal to 1200° C.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: December 12, 2017
    Assignees: Hexatech, Inc., National University Corporation Tokyo University of Agriculture and Technology, Tokuyama Corporation
    Inventors: Akinori Koukitu, Yoshinao Kumagai, Toru Nagashima, Toru Kinoshita, Yuki Kubota, Rafael F. Dalmau, Jinqiao Xie, Baxter F. Moody, Raoul Schlesser, Zlatko Sitar
  • Patent number: 9777392
    Abstract: Disclosed is a method of fabricating a single crystal colloidal monolayer on a substrate. The method includes preparing a pair of adhesive substrates, arranging powder particles between the substrates, and uniaxially rubbing one of the substrates in any one direction to allow the particles to be close-packed between the substrates, thereby forming a single crystal monolayer.
    Type: Grant
    Filed: July 15, 2014
    Date of Patent: October 3, 2017
    Assignee: Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Unyong Jeong, JaeMin Myoung, Taeil Lee, ChooJin Park
  • Patent number: 9722148
    Abstract: A semiconductor light emitting device comprising a light emitting layer disposed between an n-type region and a p-type region is combined with a ceramic layer which is disposed in a path of light emitted by the light emitting layer. The ceramic layer is composed of or includes a wavelength converting material such as a phosphor. Luminescent ceramic layers according to embodiments of the invention may be more robust and less sensitive to temperature than prior art phosphor layers. In addition, luminescent ceramics may exhibit less scattering and may therefore increase the conversion efficiency over prior art phosphor layers.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: August 1, 2017
    Assignee: Lumileds LLC
    Inventors: Gerd O. Mueller, Regina B. Mueller-Mach, Michael R. Krames, Peter J. Schmidt, Hans-Helmut Bechtel, Joerg Meyer, Jan de Graaf, Theo Arnold Kop
  • Patent number: 9691939
    Abstract: A method of fabricating a device using a layer with a patterned surface for improving the growth of semiconductor layers, such as group III nitride-based semiconductor layers with a high concentration of aluminum, is provided. The patterned surface can include a substantially flat top surface and a plurality of stress reducing regions, such as openings. The substantially flat top surface can have a root mean square roughness less than approximately 0.5 nanometers, and the stress reducing regions can have a characteristic size between approximately 0.1 microns and approximately five microns and a depth of at least 0.2 microns. A layer of group-III nitride material can be grown on the first layer and have a thickness at least twice the characteristic size of the stress reducing regions. A device including one or more of these features also is provided.
    Type: Grant
    Filed: August 10, 2015
    Date of Patent: June 27, 2017
    Assignee: SENSOR ELECTRONIC TECHNOLOGY, INC.
    Inventors: Rakesh Jain, Wenhong Sun, Jinwei Yang, Maxim S. Shatalov, Alexander Dobrinsky, Michael Shur, Remigijus Gaska
  • Patent number: 9673223
    Abstract: Disclosed is an electroluminescence device having a substrate, a thin film transistor over the substrate, an insulating film over the thin film transistor, an electroluminescence element over the insulating film, a passivation film over the electroluminescence element, and a counter substrate over the passivation film. The electroluminescence element is configured to emit light through the counter substrate, and a space between the substrate and the counter substrate is filled with a filler. The electroluminescence device is featured by the tapered side surface of a gate electrode of the thin film transistor.
    Type: Grant
    Filed: January 5, 2015
    Date of Patent: June 6, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koji Ono, Hideomi Suzawa, Tatsuya Arao
  • Patent number: 9620461
    Abstract: A laminar structure of semiconductors comprises a silicon substrate, an epitaxial layer, a protective layer, a first layer and a second layer. The epitaxial layer is arranged above the silicon substrate and the protective layer is arranged below the silicon substrate. Thermal expansion coefficients of the epitaxial layer and the protective layer are both either greater than or less than that of the silicon substrate. The first layer is arranged between the silicon substrate and the protective layer; and the second layer is arranged between the silicon substrate and the epitaxial layer, wherein the band gap of the first layer and the second layer are both greater than 3 eV. By arranging the protective layer below the silicon substrate, stress generated between the silicon substrate and the epitaxial layer can be reduced to prevent occurrence of bending or crack. Therefore, yield can be promoted and costs can be reduced.
    Type: Grant
    Filed: June 9, 2015
    Date of Patent: April 11, 2017
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Wen-Ching Hsu, Chia-Wen Ko, Chiou-Mei Luo
  • Patent number: 9536955
    Abstract: A nitride semiconductor substrate is provided which is suitable for a high withstand voltage power device and prevents a warp and a crack from generating in a Si substrate when forming a thick nitride semiconductor layer on the substrate. A nitride semiconductor substrate 1 is prepared in such a manner that a buffer layer 3 and a semiconductor active layer 4 each comprising a group 13 nitride are stacked one by one on one principal plane of a Si single crystal substrate, the one principal plane has an offset angle of 0.1° to 1° or ?1° to ?0.1° with respect to a (111) plane, an average dopant concentration in a bulk is 1×1018 to 1×1021 cm?3, the Si single crystal substrate 2 has a SiO2 film on the back, and the total thickness of the buffer layer 3 and the semiconductor active layer 4 is 4 to 10 ?m.
    Type: Grant
    Filed: April 22, 2014
    Date of Patent: January 3, 2017
    Assignee: COORSTEK KK
    Inventors: Jun Komiyama, Kenichi Eriguchi, Akira Yoshida, Hiroshi Oishi, Yoshihisa Abe, Shunichi Suzuki
  • Patent number: 9520524
    Abstract: A method for producing a solar cell, in particular a silicon thin-film solar cell, wherein a TCO layer (3) is deposited on a glass substrate (1) and at least a silicon layer (4, 5) is deposited on the TCO layer (3), wherein the glass substrate (1) is exposed to electron radiation prior to the application of the TCO layer (3), thereby producing a light-scattering layer (2) of the glass substrate (1), onto which the TCO layer (3) is deposited. Alternatively or additionally, a first silicon layer (4) may be deposited on the TCO layer (3), wherein the first silicon layer (4) is exposed to laser radiation or electron radiation, and wherein a second silicon layer (5) is deposited on the irradiated first silicon layer (4).
    Type: Grant
    Filed: July 23, 2014
    Date of Patent: December 13, 2016
    Assignee: LILAS GMBH
    Inventor: Vitalij Lissotschenko
  • Patent number: 9515146
    Abstract: According to one embodiment, a nitride semiconductor layer spreading along a first surface is provided. The nitride semiconductor layer includes a first region and a second region. A length of the first region in a first direction parallel to the first surface is longer than a length of the first region in a second direction parallel to the first surface and perpendicular to the first direction. The second region is arranged with the first region in the second direction. A length of the second region in the first direction is longer than a length of the second region in the second direction. A c-axis being is tilted with respect to the second direction for the first region and the second region. The c-axis intersects a third direction perpendicular to the first surface.
    Type: Grant
    Filed: May 1, 2015
    Date of Patent: December 6, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiki Hikosaka, Hiroshi Ono, Shinya Nunoue
  • Patent number: 9512542
    Abstract: A method of producing silicon carbide is disclosed. The method comprises the steps of providing a sublimation furnace comprising a furnace shell, at least one heating element positioned outside the furnace shell, and a hot zone positioned inside the furnace shell surrounded by insulation. The hot zone comprises a crucible with a silicon carbide precursor positioned in the lower region and a silicon carbide seed positioned in the upper region. The hot zone is heated to sublimate the silicon carbide precursor, forming silicon carbide on the bottom surface of the silicon carbide seed. Also disclosed is the sublimation furnace to produce the silicon carbide as well as the resulting silicon carbide material.
    Type: Grant
    Filed: September 5, 2014
    Date of Patent: December 6, 2016
    Assignee: GTAT Corporation
    Inventors: Roman V. Drachev, Parthasarathy Santhanaraghavan, Andriy M. Andrukhiv, David S. Lyttle
  • Patent number: 9464366
    Abstract: A method for reducing/eliminating basal plane dislocations from SiC epilayers is disclosed. An article having: an off-axis SiC substrate having an off-axis angle of no more than 6°; and a SiC epitaxial layer grown on the substrate. The epitaxial layer has no more than 2 basal plane dislocations per cm2 at the surface of the epitaxial layer. A method of growing an epitaxial SiC layer on an off-axis SiC substrate by: flowing a silicon source gas, a carbon source gas, and a carrier gas into a growth chamber under growth conditions to epitaxially grow SiC on the substrate in the growth chamber. The substrate has an off-axis angle of no more than 6°. The growth conditions include: a growth temperature of 1530-1650° C.; a pressure of 50-125 mbar; a C/H gas flow ratio of 9.38×10?5-1.5×10?3; a C/Si ratio of 0.5-3; a carbon source gas flow rate during ramp to growth temperature from 0 to 15 sccm; and an electron or hole concentration of 1013-1019/cm3.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: October 11, 2016
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: Rachael L Myers-Ward, David Kurt Gaskill, Brenda L VanMil, Robert E Stahlbush, Charles R. Eddy, Jr.
  • Patent number: 9446571
    Abstract: A manufacturing method of a touch panel includes: forming a graphene electrode on a metal substrate; adhering a transfer film on the graphene electrode; patterning the metal substrate to form electrode wiring; adhering a base substrate under the electrode wiring; and removing the transfer film. In the manufacturing method, the metal layer used when forming the graphene electrode is not removed after forming the graphene, and the metal layer is patterned to be used as the electrode wiring, such that the removal process of the metal layer and the forming process of the electrode wiring are unified into one. Accordingly, in the manufacturing process of the touch panel using the graphene as the transparent electrode, the manufacturing process is simplified.
    Type: Grant
    Filed: June 12, 2014
    Date of Patent: September 20, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Ki Jung, Jung-Hyun Kim
  • Patent number: 9418840
    Abstract: Silicon-containing gas, carbon-containing gas, and chlorine-containing gas are introduced into a reacting furnace. Next, a SiC epitaxial film is grown on the front surface of a 4H-SiC substrate by a halide CVD method in a mixed gas atmosphere made of the plurality of gasses introduced. In the SiC epitaxial film growing, a SiC epitaxial film of a first predetermined thickness is grown at a first growth rate. The first growth rate is increased from an initial growth rate to a higher growth rate. Furthermore, the SiC epitaxial film is grown, at a second growth rate, until the thickness of the SiC epitaxial film reaches a second predetermined thickness. By so doing, it is possible to improve the crystallinity of a silicon carbide semiconductor film grown in a gas atmosphere containing halide.
    Type: Grant
    Filed: September 3, 2015
    Date of Patent: August 16, 2016
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Yasuyuki Kawada, Yoshiyuki Yonezawa