Having Prerecorded Program Medium Patents (Class 118/697)
  • Publication number: 20150086725
    Abstract: A thermal spray system and method includes a hot gas generator with nozzle accelerating heated gas towards a substrate in the form of a gas column projecting onto the substrate surface as a spot. One or more feedstock injectors proximate the nozzle exit, directed towards the gas column, are connected to a feedstock source. The hot gas stream transfers heat and momentum to the feedstock, causing the feedstock particles to impact onto a substrate to form a coating. The system further comprises one or more liquid injectors proximate the nozzle exit, directed towards the axis, and connected to a source of liquid. The system controls the flow and velocity with which the liquid is injected, permitting control of the depth of penetration of the liquid into the gas column. The method selectively prevents suboptimal feedstock particulates from adhering to the substrate and provides for the in-situ removal of suboptimal deposits.
    Type: Application
    Filed: December 4, 2014
    Publication date: March 26, 2015
    Inventor: Kent VanEvery
  • Publication number: 20150087159
    Abstract: Provided is a technique of efficiently purging source gases remaining on a substrate and improving in-plane uniformity of a substrate. A method of processing a substrate includes forming a thin film on a substrate accommodated in a process chamber by (a) supplying a source gas into the process chamber, and (b) supplying an inert gas into the process chamber while alternately increasing and decreasing a flow rate of the inert gas supplied into the process chamber and exhausting the source gas and the inert gas from the process chamber.
    Type: Application
    Filed: September 24, 2014
    Publication date: March 26, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Koei KURIBAYASHI, Shinya EBATA
  • Patent number: 8987146
    Abstract: A method of manufacturing a semiconductor device, includes: forming a film on a substrate by performing a cycle a predetermined number of times, the cycle including: supplying a raw material gas to a substrate in a process chamber, exhausting the raw material gas remaining in the process chamber through an exhaust line, supplying an amine-based gas; and exhausting the amine-based gas through the exhaust line with the supply of the amine-based gas stopped. A degree of valve opening of an exhaust valve disposed in the exhaust line is changed in multiple steps in the process of exhausting the amine-based gas.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: March 24, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kaori Kirikihira, Yugo Orihashi, Satoshi Shimamoto
  • Publication number: 20150072537
    Abstract: A method of manufacturing a semiconductor device, includes: forming a thin film containing silicon, oxygen and carbon or a thin film containing silicon, oxygen, carbon and nitrogen on a substrate by performing a cycle a predetermined number of times.
    Type: Application
    Filed: March 27, 2014
    Publication date: March 12, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki NODA, Satoshi SHIMAMOTO, Shingo NOHARA, Yoshiro HIROSE, Kiyohiko MAEDA
  • Publication number: 20150072078
    Abstract: A substrate treatment method is provided which includes: a treatment liquid supplying step of supplying a treatment liquid to a major surface of a substrate; a substrate rotating step of rotating the substrate while retaining a liquid film of the treatment liquid on the major surface of the substrate; a heater heating step of locating a heater in opposed relation to the major surface of the substrate to heat the treatment liquid film by the heater in the substrate rotating step; and a heat amount controlling step of controlling the amount of heat to be applied per unit time to a predetermined portion of the liquid film from the heater according to the rotation speed of the substrate in the heater heating step.
    Type: Application
    Filed: August 19, 2014
    Publication date: March 12, 2015
    Inventors: Sei NEGORO, Yasuhiko NAGAI, Keiji IWATA, Tsutomu OSUKA, Ryo MURAMOTO
  • Publication number: 20150072538
    Abstract: Method and apparatus for reducing metal oxide surfaces to modified metal surfaces are disclosed. By exposing a metal oxide surface to a remote plasma, the metal oxide surface on a substrate is reduced. A remote plasma apparatus can treat the metal oxide surface as well as cool, load/unload, and move the substrate within a single standalone apparatus. The remote plasma apparatus includes a processing chamber and a controller configured to provide a substrate having a metal seed layer in a processing chamber, move the substrate towards a substrate support in the processing chamber, form a remote plasma of a reducing gas species, expose a metal seed layer of the substrate to the remote plasma, and expose the substrate to a cooling gas. In some embodiments, the remote plasma apparatus is part of an electroplating apparatus.
    Type: Application
    Filed: September 6, 2013
    Publication date: March 12, 2015
    Inventors: Tighe A. Spurlin, James E. Duncan, Stephen Lau, Marshall Stowell, Jonathan D. Reid, David Porter
  • Publication number: 20150064910
    Abstract: A substrate processing method includes supplying onto a substrate a processing liquid which contains a volatile component and forms a film, vaporizing the volatile component in the processing liquid such that the processing liquid solidifies or cures on the substrate and forms a film on the substrate, and supplying onto the film formed on the substrate a removing liquid which removes the processing liquid. The processing liquid is supplied onto the substrate after dry etching or ashing is applied to the substrate.
    Type: Application
    Filed: August 18, 2014
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Miyako Kaneko, Takehiko Orii, Itaru Kanno
  • Publication number: 20150064349
    Abstract: A machine (1) for transferring fluids to a moving web (3), comprises: a spreading roller (2) by which the fluids are spread and which is configured to come into contact with the moving web (3); a plurality of distribution rollers (5a-5e) on which the web (3), which is subject to tensioning, is made to run; and a transfer system (8) for transferring fluids to the spreading roller (2); the plurality of distribution rollers (5a-5e) is rotatably pivoted on fixed pins relative to the machine (1) and the spreading roller (2) is slidably constrained to the machine. The invention also relates to a method for transferring fluids to a web by means of the machine.
    Type: Application
    Filed: September 2, 2014
    Publication date: March 5, 2015
    Inventors: ANDREA ROSA', ALBERT ZANDONAI
  • Patent number: 8962082
    Abstract: A non-contact edge coating apparatus includes an applicator for applying a coating material on an edge of a solar cell substrate and a control system configured to drive the applicator. The control system may drive the applicator along an axis to maintain a distance with an edge of the substrate as the substrate is rotated to have the edge coated with a coating material. The applicator may include a recessed portion into which the edge of the substrate is received for edge coating. For example, the applicator may be a roller with a groove. Coating material may be introduced into the groove for application onto the edge of the substrate. A variety of coating materials may be employed with the apparatus including hot melt ink and UV curable plating resist.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: February 24, 2015
    Assignee: SunPower Corporation
    Inventors: Luca Pavani, Emmanuel Abas
  • Publication number: 20150047562
    Abstract: An application apparatus includes a nozzle device (20) injecting a damping material from a nozzle hole (20a) to a vehicle body, an articulated robot (21) moving the nozzle device (20) relative to the vehicle body, a supply section including a supply pump (22), and a supply passage (27), and continuously driving the supply pump (22) to continuously supply the damping material from the supply pump (22) to the supply passage (27) in a substantially uniform amount, a return passage (33) branched from the supply passage (27) and returning the damping material to the supply pump (22), and a gun (32) and a return valve (34) switching a supply destination of the damping material between the nozzle hole (20a) and the return passage (33) based on information on applying the damping material to the vehicle body.
    Type: Application
    Filed: March 22, 2013
    Publication date: February 19, 2015
    Inventors: Hiroyasu Hirota, Kenichi Takiguchi, Masanori Takasaki, Eiichi Kawase, Nobuhiro Takaba, Hidekazu Kato, Naoto Waku
  • Publication number: 20150044881
    Abstract: According to the present disclosure, a film containing carbon added at a high concentration is formed with high controllability. A method of manufacturing a semiconductor device includes forming a film containing silicon, carbon and a predetermined element on a substrate by performing a cycle a predetermined number of times. The predetermined element is one of nitrogen and oxygen. The cycle includes supplying a precursor gas containing at least two silicon atoms per one molecule, carbon and a halogen element and having an Si—C bonding to the substrate, and supplying a modifying gas containing the predetermined element to the substrate.
    Type: Application
    Filed: August 6, 2014
    Publication date: February 12, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Satoshi SHIMAMOTO, Yoshiro HIROSE, Atsushi SANO
  • Publication number: 20150037503
    Abstract: An apparatus for and method of color-striking a color-strikable article. The method includes the steps of: conveying a color-strikable article within a proximity of an energizer, and selectively color-striking said article with said energizer to produce a predetermined pattern on the article.
    Type: Application
    Filed: July 30, 2013
    Publication date: February 5, 2015
    Applicant: Owens-Brockway Glass Container Inc.
    Inventor: Brian J. Chisholm
  • Publication number: 20150031216
    Abstract: There is provided a method of cleaning an inside of a process chamber, which is formed by a reaction tube and a manifold configured to support the reaction tube and installed under a heater, after forming a stacked film of oxide and nitride films on a substrate in the process chamber by alternately performing forming the oxide film on the substrate and forming the nitride film thereon. The method includes supplying a hydrogen-free fluorine-based gas from a first nozzle, which is installed in the manifold to extend upward from the manifold to an inside of the reaction tube, to an inner wall of the reaction tube; and supplying a hydrogen fluoride gas from a second nozzle, which is installed in the manifold, to an inner wall of the manifold.
    Type: Application
    Filed: July 25, 2014
    Publication date: January 29, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Naonori AKAE, Kenji KAMEDA
  • Publication number: 20150027368
    Abstract: A drug coating apparatus (100) for coating an implant (206) with a drug is described. The drug coating apparatus (100) includes a holding unit (102) having a top collet (202-1) for holding the implant (206) from a top end of the implant (206), and a bottom collet (202-2) to hold the implant (206) from a bottom end of the implant (206). The drug coating apparatus (100) includes at least one rotary drive (115) coupled to the holding unit (102) for rotating the top collet (202-1), the bottom collet (202-2) and the implant (206), and includes a spraying unit (104) to spray-coat the drug on the implant (206).
    Type: Application
    Filed: February 15, 2013
    Publication date: January 29, 2015
    Inventors: Rahul Mahendrakumar Gaywala, Vallabh Mohan Narola
  • Publication number: 20150030774
    Abstract: A plating method can improve adhesivity with an underlying layer. The plating method of performing a plating process on a substrate includes forming a first plating layer 23a serving as a barrier film on a substrate 2; baking the first plating layer 23a; forming a second plating layer 23b serving as a barrier film; and baking the second plating layer 23b. A plating layer stacked body 23 serving as a barrier film is formed of the first plating layer 23a and the second plating layer 23b.
    Type: Application
    Filed: February 22, 2013
    Publication date: January 29, 2015
    Applicant: Tokyo Electron Limited
    Inventors: Takashi Tanaka, Yuichiro Inatomi, Nobutaka Mizutani, Yusuke Saito, Mitsuaki Iwashita
  • Publication number: 20150024118
    Abstract: According to one example there is provided a system for applying fluid to a substrate using a first and second array of fluid applicators.
    Type: Application
    Filed: July 9, 2014
    Publication date: January 22, 2015
    Inventors: Alex Veis, Alex Davidson
  • Publication number: 20150024594
    Abstract: A semiconductor substrate processing apparatus includes a cooled pin lifter paddle for raising and lowering a semiconductor substrate. The semiconductor substrate processing apparatus comprises a processing chamber in which the semiconductor substrate is processed, a heated pedestal for supporting the semiconductor substrate in the processing chamber, and the cooled pin lifter paddle located below the pedestal. The cooled pin lifter paddle includes a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield of the cooled pin lifter paddle. The cooled pin lifter paddle is vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal and a source of coolant is in flow communication with the at least one flow passage.
    Type: Application
    Filed: July 17, 2013
    Publication date: January 22, 2015
    Inventors: Andreas Fischer, Dean Larson
  • Publication number: 20150017812
    Abstract: Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations.
    Type: Application
    Filed: December 18, 2013
    Publication date: January 15, 2015
    Inventors: Ramesh Chandrasekharan, Adrien Lavoie, Damien Slevin, Karl Leeser
  • Publication number: 20150011096
    Abstract: A deposition apparatus for processing semiconductor substrates having an isothermal processing zone comprises a chemical isolation chamber in which semiconductor substrates are processed. A process gas source is in fluid communication with a showerhead module which delivers process gases from the process gas source to the isothermal processing zone wherein the showerhead module includes a faceplate wherein a lower surface of the faceplate forms an upper wall of a cavity defining the isothermal processing zone, a backing plate, and an isolation ring which surrounds the faceplate and the backing plate. At least one compression seal is compressed between the faceplate and the backing plate which forms a central gas plenum between the faceplate and the backing plate. A substrate pedestal module is configured to heat and support a semiconductor substrate wherein an upper surface of the pedestal module forms a lower wall of the cavity defining the isothermal processing zone within the chemical isolation chamber.
    Type: Application
    Filed: July 3, 2013
    Publication date: January 8, 2015
    Inventors: Ramesh Chandrasekharan, Jeremy Tucker, Karl Leeser, Alan Schoepp
  • Publication number: 20150010702
    Abstract: Mechanically fluidized systems and processes allow for efficient, cost-effective production of silicon. Particulate may be provided to a heated tray or pan, which is oscillated or vibrated to provide a reaction surface. The particulate migrates downward in the tray or pan and the reactant product migrates upward in the tray or pan as the reactant product reaches a desired state. Exhausted gases may be recycled.
    Type: Application
    Filed: September 25, 2014
    Publication date: January 8, 2015
    Inventors: Mark W. Dassel, David A. Bressler
  • Patent number: 8927440
    Abstract: A film deposition apparatus that laminates layers of reaction product by repeating cycles of sequentially supplying process gases that mutually reacts in a vacuum atmosphere includes a turntable receiving a substrate, process gas supplying portions supplying mutually different process gases to separated areas arranged in peripheral directions, and a separation gas supplying portion separating the process gases, wherein at least one process gas supplying portion extends between peripheral and central portions of the turntable and includes a gas nozzle discharging one process gas toward the turntable and a current plate provided on an upstream side to allow the separation gas to flow onto its upper surface, wherein a gap between the current plate and the turntable is gradually decreased from a central side of the turntable to a peripheral side of the turntable, and the gap is smaller on the peripheral side by 1 mm or greater.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Shigehiro Miura
  • Publication number: 20150000591
    Abstract: Provided are a substrate processing apparatus, a method of manufacturing a semiconductor device, and a non-transitory computer-readable recording medium, which are capable of reducing an effect on a substrate, which is caused by a change in an atmosphere in a substrate storage container, by appropriately supplying an inert gas into the substrate storage container.
    Type: Application
    Filed: June 27, 2014
    Publication date: January 1, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Junichi KAWASAKI, Mitsuru FUNAKURA
  • Publication number: 20150004332
    Abstract: A method of depositing a thin film on a substrate inside a vacuum chamber includes a first process that deposits a first film on the substrate, the first process including a process of supplying an active species that is obtained by changing a gas to plasma and is related to a quality of the thin film to the substrate; and a second process that deposits a second film that is the same type as that of the first film on the first film, the second process including a process of supplying the active species to the substrate so that a supply quantity of the active species per a unit film thickness is greater than a first supply quantity of the active species per the unit film thickness in the first process by adjusting a controlled parameter.
    Type: Application
    Filed: June 13, 2014
    Publication date: January 1, 2015
    Inventors: Hitoshi KATO, Masahiro MURATA, Kentaro OSHIMO, Shigehiro MIURA
  • Publication number: 20140370692
    Abstract: Provided is a method of manufacturing a semiconductor device, which is capable of increasing the controllability of the concentration of carbon in a film by increasing the yield when a boron carbonitride film or a boron nitride film is formed. The method includes forming a film containing boron, carbon and nitrogen or a film containing boron and nitrogen on the substrate by performing, a predetermined number of times, a cycle including supplying a source gas consisting of boron and a halogen element to a substrate and supplying a reactive gas consisting of carbon, nitrogen and hydrogen to the substrate.
    Type: Application
    Filed: June 16, 2014
    Publication date: December 18, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi SANO, Yoshiro HIROSE, Tsukasa KAMAKURA
  • Patent number: 8904957
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of, e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akihiro Kikuchi, Satoshi Kayamori, Shinya Shima, Yuichiro Sakamoto, Kimihiro Higuchi, Kaoru Oohashi, Takehiro Ueda, Munehiro Shibuya, Tadashi Gondai
  • Publication number: 20140357064
    Abstract: The method and apparatus disclosed herein relate to preparing a stack structure for an electronic device on a semiconductor substrate. A particularly beneficial application of the method is in reduction of internal stress in a stack containing multiple layers of silicon. Typically, though not necessarily, the internal stress is a compressive stress, which often manifests as wafer bow. In some embodiments, the method reduces the internal stress of a work piece by depositing phosphorus doped silicon layers having low internal compressive stress or even tensile stress. The method and apparatus disclosed herein can be used to reduce compressive bow in stacks containing silicon.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Inventors: Keith Fox, Dong Niu, Joseph L. Womack
  • Publication number: 20140349492
    Abstract: A semiconductor device manufacturing method includes forming a thin film containing silicon, oxygen, carbon and a specified Group III or Group V element on a substrate by performing a cycle a predetermined number of times. The cycle includes: supplying a precursor gas containing silicon, carbon and a halogen element and having an Si—C bonding and a first catalytic gas to the substrate; supplying an oxidizing gas and a second catalytic gas to the substrate; and supplying a modifying gas containing the specified Group III or Group V element to the substrate.
    Type: Application
    Filed: March 31, 2014
    Publication date: November 27, 2014
    Applicant: C/O HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Satoshi SHIMAMOTO, Yoshiro HIROSE, Atsushi SANO, Tsukasa KAMAKURA, Takaaki NODA
  • Patent number: 8895325
    Abstract: A system and method are disclosed for aligning substrates during successive process steps, such as ion implantation steps, is disclosed. Implanted regions are created on a substrate. After implantation, an image is obtained of the implanted regions, and a fiducial is provided on the substrate in known relation to at least one of the implanted regions. A thermal anneal process is performed on the substrate such that the implanted regions are no longer visible but the fiducial remains visible. The position of the fiducial may be used in downstream process steps to properly align pattern masks over the implanted regions. The fiducial also may be applied to the substrate before any ion implanting of the substrate is performed. The position of the fiducial with respect to an edge or a corner of the substrate may be used for aligning during downstream process steps. Other embodiments are described and claimed.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: November 25, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: John W. Graff, Benjamin B. Riordon, Nicholas P. T. Bateman
  • Publication number: 20140342573
    Abstract: There is provided a method for manufacturing a semiconductor device, including forming a thin film containing a specific element and having a prescribed composition on a substrate by alternately performing the following steps prescribed number of times: forming a first layer containing the specific element, nitrogen, and carbon on the substrate by alternately performing prescribed number of times: supplying a first source gas containing the specific element and a halogen-group to the substrate, and supplying a second source gas containing the specific element and an amino-group to the substrate, and forming a second layer by modifying the first layer by supplying a reactive gas different from each of the source gases, to the substrate.
    Type: Application
    Filed: August 1, 2012
    Publication date: November 20, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro Hirose, Ryuji Yamamoto
  • Publication number: 20140335693
    Abstract: A substrate processing method of the present disclosure includes forming a film on a workpiece using a processing gas in a processing chamber with a setting temperature profile including increase or decrease of a temperature; and etching the film. An etching rate of the film in the etching depends on a film formation temperature in the forming. The setting temperature profile is determined based on a first temperature dependence of the etching rate in the etching on the film formation temperature, and a second temperature dependence of a film formation amount in the forming on the film formation temperature.
    Type: Application
    Filed: April 29, 2014
    Publication date: November 13, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Yuichi Takenaga, Syuji Nozawa
  • Publication number: 20140335675
    Abstract: Provision of fabrication, construction, and/or assembly of a two-terminal memory device is described herein. The two-terminal memory device can include an active region with a silicon bearing layer, an interface layer, and an active metal layer. The interface layer can be grown on the silicon bearing layer, and the growth of the interface layer can be regulated with N2O plasma.
    Type: Application
    Filed: June 6, 2013
    Publication date: November 13, 2014
    Inventor: Sundar NARAYANAN
  • Publication number: 20140331930
    Abstract: Methods, systems, and apparatuses for nanowire deposition are provided. A deposition system includes an enclosed flow channel, an inlet port, and an electrical signal source. The inlet port provides a suspension that includes nanowires into the channel. The electrical signal source is coupled to an electrode pair in the channel to generate an electric field to associate at least one nanowire from the suspension with the electrode pair. The deposition system may include various further features, including being configured to receive multiple solution types, having various electrode geometries, having a rotatable flow channel, having additional electrical conductors, and further aspects.
    Type: Application
    Filed: July 24, 2014
    Publication date: November 13, 2014
    Applicants: SHARP KABUSHIKI KAISHA, OneD Material LLC
    Inventors: Erik Freer, James M. Hamilton, David P. Stumbo, Kenji Komiya, Akihide Shibata
  • Publication number: 20140335701
    Abstract: A thin film containing boron and a borazine ring structure is formed on a substrate by performing a cycle a predetermined number of times under a condition where the borazine ring structure is preserved in a borazine compound. The cycle includes: supplying a source gas containing boron and a halogen element to the substrate; and supplying a reactive gas including a borazine compound to the substrate.
    Type: Application
    Filed: May 9, 2014
    Publication date: November 13, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi SANO, Yoshiro HIROSE
  • Publication number: 20140326180
    Abstract: Methods, computer programs, and apparatus for applying a layer of cushion gum to a tire casing in preparation for the retreading of the tire casing, the steps of the methods including selecting one of a plurality recipes for applying cushion gum based on one of a size, model and shape of the tire casing; pressurizing the tire casing to a predetermined pressure based on the recipe; crushing the tire casing by an extruder head a predetermined percentage of the tire casing radius or a predetermined distance, the predetermined percentage based on the recipe; and extruding the cushion gum from the extruder head. The extruder head may be translatable by way of a screw drive.
    Type: Application
    Filed: July 22, 2014
    Publication date: November 6, 2014
    Inventors: David Allen Crowe, William Edward Cheek, JR., Robert Young, Stephen Manuel
  • Publication number: 20140329001
    Abstract: A system and method of coating a workpiece is disclosed. A controller is in electronic communication with a robotic manipulator having a coating dispenser. A layer of coating is applied to a surface of the workpiece by the robot. A wet-surface time is determined corresponding to the areas of the surface upon which the layer of coating is applied. A second layer of coating is applied prior to the expiration of the wet-surface time of the first layer. The layers of coating in adjacent segments can be applied in an overlapping manner within the boundary regions of the segments.
    Type: Application
    Filed: April 28, 2014
    Publication date: November 6, 2014
    Applicant: ABB Technology AG
    Inventors: Didier Rouaud, Michael G. Nelson, Srinivas Nidamarthi
  • Publication number: 20140326179
    Abstract: A system to control an ion beam in an ion implanter includes a detector to perform a plurality of beam current measurements of the ion beam along a first direction perpendicular to a direction of propagation of the ion beam. The system also includes an analysis component to determine a beam current profile based upon the plurality of beam current measurements, the beam current profile comprising a variation of beam current along the first direction; and an adjustment component to adjust a height of the ion beam along the first direction when the beam current profile indicates the beam height is below a threshold.
    Type: Application
    Filed: September 25, 2013
    Publication date: November 6, 2014
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Stanislav S. Todorov, George M. Gammel, Richard Allen Sprenkle, Norman E. Hussey, Frank Sinclair, Shengwu Chang, Joseph C. Olson, David Roger Timberlake, Kurt T. Decker-Lucke
  • Patent number: 8880227
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber for a wide range of setpoint temperatures and reduced energy consumption. Temperature control is coordinated between a coolant liquid loop and a heat source by a control algorithm implemented by the plasma processing module controller. The control algorithm may completely stop the flow of coolant liquid to a temperature-controlled component in response to a feedback signal indicating an actual temperature is below the setpoint temperature. The control algorithm may further be based at least in part on a feedforward control signal derived from a plasma power or change in plasma power input into the processing chamber during process recipe execution.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: November 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Chetan Mahadeswaraswamy, Kartik Ramaswamy, Bryan Liao, Sergio Shoji, Duy D. Nguyen, Hamid Noorbakhsh, David Palagashvili
  • Patent number: 8877000
    Abstract: A plasma-processing chamber including pulsed gas injection orifices/nozzles utilized in combination with continuous flow shower head injection orifices is described. The continuous flow shower head injection orifices introduce a continuous flow of gas while the pulsed gas injection orifices/nozzles cyclically inject a high-pressure gas into the chamber. In one embodiment, a central computer may monitor and control pressure measurement devices and utilize the measurements to adjust processing parameters (e.g. pulse duration, pulse repetition rate, and the pulse mass flow rate of processing gases).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Publication number: 20140318450
    Abstract: A system for processing a semiconductor substrate is provided. The system includes a mainframe having a plurality of modules attached thereto. The modules include processing modules, storage modules, and transport mechanisms. The processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules. In one embodiment, at least one of the modules stores multiple masks. The multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules. A method for processing a substrate is also provided.
    Type: Application
    Filed: July 8, 2014
    Publication date: October 30, 2014
    Inventors: Richard R. Endo, Tony P. Chiang, James Tsung
  • Publication number: 20140318449
    Abstract: A closed chemical introduction system used to deliver active ingredients in liquid chemical to a chemical vapor deposition system includes a robust, moisture-free cartridge containing a defined dose of liquid chemical. The cartridge is placed on a mounting slot specially configured to receive the cartridge. Upon initiating the system, a first linear mechanical actuator securely holds the cartridge in the slot, while an extraction lance attached to a second linear mechanical actuator punctures the cartridge from the bottom, extracts the liquid chemical and delivers it to a vaporization chamber. The vaporization chamber evaporates the liquid chemical and delivers the vapors containing the active ingredients to the chemical vapor deposition system.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 30, 2014
    Applicant: DIAMON FUSION INTERNATIONAL, INC.
    Inventors: Russell C. SLAYBAUGH, Michael Stephen METCALFE, Adam ZAX, Guillermo SETA
  • Publication number: 20140316555
    Abstract: Method for determining an adaptive tool path: provides an aerofoil with deposition plane; determines deposition plane points corresponding to leading and trailing edges and circle centre constrained by suction and pressure surfaces at their greatest distance apart; determines circle centre point edge position passing through deposition plane points; determines trailing and leading edge reference lines extending from the circle centre point through trailing and leading edge points, respectively and mid-reference line(s) extending from the circle centre point through mid-reference point(s) between leading and trailing edge points; trims and determines each mid reference line to the bounds of the suction and pressure surfaces; applies an adaptive factor to each mid reference line providing an adaptive point for each mid-reference line; determines an spline extending through the leading and trailing edge points and each adaptive point; and traverses a tool along the spline or offset path from the spline by a fact
    Type: Application
    Filed: March 13, 2014
    Publication date: October 23, 2014
    Applicant: ROLLS-ROYCE PLC
    Inventors: Alistair BUCHANAN, Christopher Paul HEASON
  • Publication number: 20140315393
    Abstract: A method of manufacturing a semiconductor device includes: pre-treating a surface of a substrate by supplying an oxygen-containing gas and a hydrogen-containing gas to the substrate heated in a process chamber under a pressure less than atmospheric pressure; and forming a film on the pre-treated substrate by performing a cycle a predetermined number of times. The cycle includes: supplying a precursor gas to the substrate in the process chamber; and supplying a reaction gas to the substrate in the process chamber.
    Type: Application
    Filed: March 31, 2014
    Publication date: October 23, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takashi OZAKI, Hideki HORITA
  • Publication number: 20140302242
    Abstract: A plating apparatus 1 can perform plating processes by supplying plating liquids onto a surface of a substrate 2. The plating apparatus 1 includes a substrate rotating holder configured to hold and rotate the substrate 2; plating liquid supply units 29 and 30 configured to supply different kinds of plating liquids onto the surface of the substrate 2; a plating liquid drain unit 31 configured to drain out the plating liquids dispersed from the substrate 2 depending on the kinds of the plating liquids; and a controller 32 configured to control the substrate rotating holder 25, the plating liquid supply units 29 and 30, the plating liquid drain unit 31. While the substrate 2 is held and rotated, the plating processes are performed on the surface of the substrate 2 in sequence by supplying the different kinds of the plating liquids onto the surface of the substrate 2.
    Type: Application
    Filed: August 24, 2011
    Publication date: October 9, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Tanaka, Yusuke Saito, Mitsuaki Iwashita, Takayuki Toshima
  • Publication number: 20140302689
    Abstract: Methods for depositing flowable dielectric films are provided. In some embodiments, the methods involve introducing a silicon-containing precursor to a deposition chamber wherein the precursor is characterized by having a partial pressure:vapor pressure ratio between 0.01 and 1. In some embodiments, the methods involve depositing a high density plasma dielectric film on a flowable dielectric film. The high density plasma dielectric film may fill a gap on a substrate. Also provided are apparatuses for performing the methods.
    Type: Application
    Filed: April 9, 2014
    Publication date: October 9, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Kaihan Ashtiani, Michael Wood, John Drewery, Naohiro Shoda, Bart van Schravendijk, Lakshminarayana Nittala, Nerissa Draeger
  • Patent number: 8851011
    Abstract: In a coating step, a substrate is rotated at a high speed, and in that state a resist solution is discharged from a first nozzle to a central portion of the substrate to apply the resist solution over the substrate. Subsequently, in a flattening step, the rotation of the substrate is decelerated and the substrate is rotated at a low speed to flatten the resist solution on the substrate. In this event, the discharge of the resist solution by the first nozzle in the coating step is performed until a middle of the flattening step, and when the discharge of the resist solution is finished in the flattening step, the first nozzle is moved to move a discharge position of the resist solution from the central portion of the substrate. According to the present invention, the resist solution can be applied uniformly within the substrate.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kousuke Yoshihara, Tomohiro Iseki, Koji Takayanagi
  • Publication number: 20140295082
    Abstract: A driving method of a vertical heat treatment apparatus having a vertical reaction container with a heating part installed includes: performing a process of loading wafers by a substrate holder support to the reaction container; performing a film forming process of storing a first gas at a storage unit and pressurizing the first gas, and alternatively performing a step of supplying the first gas to the vacuum atmosphere reaction container and a step of supplying the second gas to the reaction container; subsequently performing a purge process of unloading the substrate holder support and supplying a purge gas into the reaction container to forcibly peel off a thin film attached to the reaction container; and while the purge process is performed, performing a process of repeating storing the purge gas at the storage unit, pressurizing the gas and discharging the gas into the reaction container.
    Type: Application
    Filed: March 28, 2014
    Publication date: October 2, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yutaka MOTOYAMA, Keisuke SUZUKI, Kohei FUKUSHIMA, Shingo HISHIYA
  • Publication number: 20140295648
    Abstract: Disclosed is a method of manufacturing a semiconductor device including: a process of transferring a substrate into a processing chamber; a first gas supplying process of supplying a B atom-containing gas into the processing chamber; a first purging process of purging an inside of the processing chamber under an atmosphere of the B atom-containing gas supplied in the first gas supplying process; a second gas supplying process of supplying an Si atom-containing gas into the processing chamber to form a non-doped Si film on the substrate, after the first purging process; and a second purging process of purging the inside of the processing chamber under an atmosphere of the Si atom-containing gas.
    Type: Application
    Filed: March 27, 2014
    Publication date: October 2, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Naoharu NAKAISO, Kazuhiro YUASA, Yuki KITAHARA
  • Publication number: 20140287594
    Abstract: A cleaning method includes (a) providing a process chamber after forming an oxide film on a substrate in the process chamber formed by a reaction tube and a manifold supporting the reaction tube by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate through a first nozzle in the manifold extending upward to an inside of the reaction tube, and supplying an oxidizing gas to the substrate through a second nozzle in the manifold extending upward to the inside of the reaction tube; and (b) cleaning an inside of the process chamber. The step (b) includes a first cleaning process of supplying a hydrogen fluoride gas into the reaction tube through the second nozzle; and a second cleaning process of supplying a hydrogen fluoride gas onto an inner wall surface of the manifold through a third nozzle disposed in the manifold.
    Type: Application
    Filed: March 24, 2014
    Publication date: September 25, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Masato TERASAKI, Naonori AKAE, Hideki HORITA
  • Publication number: 20140287596
    Abstract: A method of manufacturing a semiconductor device including forming a thin film containing silicon, oxygen and carbon on a substrate by performing a cycle a predetermined number of times, the cycle including: supplying a precursor gas containing silicon, carbon and a halogen element and having an Si—C bonding, and a first catalytic gas to the substrate; and supplying an oxidizing gas and a second catalytic gas to the substrate.
    Type: Application
    Filed: March 18, 2014
    Publication date: September 25, 2014
    Applicants: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE, HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro HIROSE, Norikazu MIZUNO, Kazutaka YANAGITA, Shingo OKUBO
  • Publication number: 20140272121
    Abstract: A replica 3D structure is fabricated inside a multi-layered mold by patterning each mold layer to define a void/opening that matches a corresponding cross section of the structure's peripheral surface, and filling the patterned opening of each layer with a structural material (i.e., before depositing a subsequent layer of mold material). The mold material (e.g., photoresist or another dissolvable sacrificial material) is blanket deposited (e.g., by slot-die, spray coating) and then patterned using a laser or a printed mask. Each layer of modeling material (e.g., polymer, ceramic or metal, or a combination thereof) is electro-plated or otherwise deposited on the previously formed modeling material layer. High vertical resolution is achieved by utilizing relatively thin mold layers. The mold layer deposition, patterning and modeling material deposition is repeated until the replica 3D structure is entirely formed inside the multi-layered mold, and then the mold is dissolved or otherwise removed.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: Palo Alto Research Center Incorporated
    Inventors: Tse Nga Ng, JengPing Lu, Eugene M. Chow, Timothy David Stowe, Janos Veres, Philipp H. Schmaelzle