Having Prerecorded Program Medium Patents (Class 118/697)
  • Publication number: 20140272198
    Abstract: Systems, methods, and media for forming metallization for solar cells are provided. In some embodiments, a system for forming metallization on a substrate is provided, the system comprising: a first laser; a second laser; and a hardware processor programmed to: rotate a target at a predetermined speed; cause the first laser to emit a laser pulse that causes a material to be ablated from the rotating target toward a surface of a substrate; causing a continuous laser beam emitted by the second laser to pass through the ablated material and heat clusters in ablated material prior to the clusters landing on the surface of the substrate; and causing the continuous laser beam to heat deposited clusters from the plume of ablated material that have landed on the surface of the substrate to form a metallization line.
    Type: Application
    Filed: March 17, 2014
    Publication date: September 18, 2014
    Inventors: Stuart Bowden, Mikhael Reginevich, Stanislau Herasimenka
  • Publication number: 20140274825
    Abstract: A method for coating a substrate surface such as a syringe part by PECVD is provided, the method comprising generating a plasma from a gaseous reactant comprising an organosilicon precursor and optionally an oxidizing gas by providing plasma-forming energy adjacent to the substrate, thus forming a coating on the substrate surface by plasma enhanced chemical vapor deposition (PECVD). The plasma-forming energy is applied in a first phase as a first pulse at a first energy level followed by further treatment in a second phase at a second energy level lower than the first energy level. The lubricity, hydrophobicity and/or barrier properties of the coating are set by setting the ratio of the O2 to the organosilicon precursor in the gaseous reactant, and/or by setting the electric power used for generating the plasma.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventors: Joseph A. Jones, John T. Felts, James Troy Gresham, Brian Russell Lilly, Thomas E. Fisk
  • Publication number: 20140273507
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes forming a thin film having a borazine ring skeleton and containing a predetermined element, boron, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a precursor gas containing the predetermined element and a halogen element to the substrate; supplying a reaction gas including an organic borazine compound to the substrate; and supplying a carbon-containing gas to the substrate. In addition, the cycle is performed under a condition in which the borazine ring skeleton in the organic borazine compound is maintained.
    Type: Application
    Filed: March 5, 2014
    Publication date: September 18, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro HIROSE, Ryuji YAMAMOTO, Atsushi SANO
  • Publication number: 20140262030
    Abstract: A plasma processing apparatus and method to control a temperature of a chamber component therein are described. A process chamber may include a temperature controlled chamber component and at least one remote heat transfer fluid loop comprising a first heat exchanger having a primary side in fluid communication with a heat sink or heat source, and a local heat transfer fluid loop placing the chamber component in fluid communication with a secondary side of the first heat exchanger. The local loop may be of significantly smaller fluid volume than the remote loop(s) and circulated to provide thermal load of uniform temperature. Temperature control of heat transfer fluid in the local loop and temperature control of the chamber component may be implemented with a cascaded control algorithm. The plasma processing apparatus further includes an AC heated electrostatic chuck (ESC) assembly.
    Type: Application
    Filed: March 5, 2014
    Publication date: September 18, 2014
    Inventor: Douglas A. Buchberger, JR.
  • Publication number: 20140273518
    Abstract: Methods of forming a layer on a substrate may include providing a substrate to a process chamber, the process chamber having a gas port, an exhaust, and a plasma port disposed between the gas port and the exhaust; providing a process gas from the gas port in a first direction such that the process gas flows across the substrate; providing a plasma such that a flow of the plasma interacts with a flow of the process gas at an angle that is non-perpendicular; and rotating the substrate while providing the process gas and the plasma, wherein a thickness profile of the layer is controlled by adjusting at least one of a flow velocity of the process gas, a flow velocity of the plasma, the angle the flow of the plasma interacts with the flow of the process gas, or a direction of rotation of the substrate.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MATTHEW S. ROGERS, KEVIN BAUTISTA
  • Publication number: 20140272103
    Abstract: A system and method for capturing three-dimensional image data for inspection, alignment and operations of a material applicator includes an imaging system configured to capture three-dimensional image data of an electronic substrate. The imaging system includes one or more illumination assembly configured to project a spectrum of light substantially along a first axis at an angle to the surface of the electronic substrate. The imaging system further includes an image sensor assembly configured to detect the spectrum of light reflected from an electronic substrate surface, with the image sensor assembly including a viewing plane. The material application includes a controller that is coupled to the imaging system. The controller is configured to control movement of the imaging system and to communicate with the image sensor assembly to produce a three-dimensional image of the topology of the electronic substrate.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Inventor: David P. Prince
  • Publication number: 20140273537
    Abstract: A plasma reactor includes an enclosure having a top and a bottom and defining a processing chamber. Inlets are formed in the enclosure for injecting process gas into the chamber. An outlet is formed in the enclosure for withdrawing gas from the chamber. A platform is positioned to support a wafer in the chamber above the bottom. A plurality of coils is positioned above the top of the chamber. Each coil is coupled to a radio frequency generator.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Ching LO, Po-Hsiung LEU, Tzu-Chun LIN, Ding-I LIU, Jen-Chi CHANG, Ho-Ta CHUANG
  • Publication number: 20140256128
    Abstract: Method and apparatus for reducing metal oxide surfaces to modified metal surfaces are disclosed. By exposing a metal oxide surface to a remote plasma, the metal oxide surface on a substrate can be reduced to pure metal and the metal reflowed. A remote plasma apparatus can treat the metal oxide surface as well as cool, load/unload, and move the substrate within a single standalone apparatus. The remote plasma apparatus includes a processing chamber and a controller configured to provide a substrate having a metal seed layer in a processing chamber, form a remote plasma of a reducing gas species where the remote plasma includes radicals, ions, and/or ultraviolet (UV) radiation from the reducing gas species, and expose a metal seed layer of the substrate to the remote plasma to reduce oxide of the metal seed layer to metal and to reflow the metal.
    Type: Application
    Filed: November 21, 2013
    Publication date: September 11, 2014
    Inventors: Tighe A. Spurlin, George Andrew Antonelli, Natalia Doubina, James E. Duncan, Jonathan D. Reid, David Porter
  • Publication number: 20140251203
    Abstract: A selective epitaxial growth method includes preparing a target object including a single crystal substrate in which an epitaxial growth region is partitioned by a suppression film; and growing the epitaxial layer on the epitaxial growth region of the target object until a predetermined film thickness is obtained. The growing the epitaxial layer includes first source gas supply process of supplying a source gas onto the target object under a first pressure to grow a first epitaxial layer on the epitaxial growth region, first removing process of removing deposits on the suppression film, second source gas supply process of supplying the source gas onto the target object under a second pressure higher than the first pressure, and second removing process of removing the deposits on the suppression film. The second source gas supply process and the second removing process are repeated until the predetermined film thickness is obtained.
    Type: Application
    Filed: March 5, 2014
    Publication date: September 11, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Daisuke SUZUKI, Akinobu KAKIMOTO, Satoshi ONODERA
  • Publication number: 20140255645
    Abstract: Systems and methods for allowing a user to create a texture on a substrate while printing in line with inks are disclosed. An image of a desired texture may be provided, and the contrast levels may be adjusted to make the dark areas very dark and the light areas very light. The adjusted image of the texture may be provided to a press as one or more texture image layers. A color image layer may also be provided to the press. The press may print the texture image layers on the substrate first, allowing the ink to build up to create the texture. The final color image layer may then be printed on top of the texture image layers. The printed substrate may be folded to create a final image product, such as a gallery wrap for hanging on a wall.
    Type: Application
    Filed: March 6, 2014
    Publication date: September 11, 2014
    Applicant: FOXBOX ORIGINALS LLC
    Inventors: Jeff Shumaker, John Greenwood
  • Publication number: 20140248783
    Abstract: A cleaning method includes: providing a process container in which a process of forming a film on a substrate is performed; and removing a deposit including the film adhered to the process container by supplying a cleaning gas into the process container after performing the process. The act of removing the deposit includes generating a mixture gas of a fluorine-containing gas and a nitrosyl fluoride gas as the cleaning gas by mixture and reaction of the fluorine-containing gas and a nitrogen monoxide gas in a mixture part and supplying the mixture gas from the mixture part into the process container after removing exothermic energy generated by the reaction.
    Type: Application
    Filed: February 27, 2014
    Publication date: September 4, 2014
    Applicants: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE, HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenji KAMEDA, Jun SONOBE, Yudai TADAKI
  • Publication number: 20140238298
    Abstract: Several embodiments of semiconductor systems and associated methods of color corrections are disclosed herein. In one embodiment, a method for producing a light emitting diode (LED) includes forming an (LED) on a substrate, measuring a base emission characteristic of the formed LED, and selecting a phosphor based on the measured base emission characteristic of the formed LED such that a combined emission from the LED and the phosphor at least approximates white light. The method further includes introducing the selected phosphor onto the LED via, for example, inkjet printing.
    Type: Application
    Filed: May 5, 2014
    Publication date: August 28, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Kevin Tetz, Charles M. Watkins
  • Publication number: 20140242809
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes forming a film containing a predetermined element and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a first process gas containing the predetermined element and a halogen element to the substrate; supplying a second process gas containing carbon and nitrogen to the substrate; supplying a third process gas containing carbon to the substrate; and supplying a fourth process gas to the substrate, the fourth process gas being different from each of the first to the third process gases.
    Type: Application
    Filed: February 24, 2014
    Publication date: August 28, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshitomo HASHIMOTO, Yoshiro HIROSE, Atsushi SANO
  • Publication number: 20140239462
    Abstract: Provided herein are multi-layer stacks for use in extreme ultraviolet lithography tailored to achieve optimum etch contrast to shrink features and smooth the edges of features while enabling use of an optical leveling sensor with little or reduced error. The multi-layer stacks may include an atomically smooth layer with an average local roughness of less than a monolayer, and one or more underlayers, which may be between a target layer to be patterned and a photoresist. Also provided are methods of depositing multi-layer stacks for use in extreme ultraviolet lithography.
    Type: Application
    Filed: February 20, 2014
    Publication date: August 28, 2014
    Inventors: Nader Shamma, Thomas Mountsier, Donald Schlosser
  • Publication number: 20140242285
    Abstract: The invention relates to a graphical application system to apply a desired pattern by multiple tiles onto a target surface. The system comprises a graphical application device comprising a base structure with a trackable optical feature, at least one nozzle for expelling paint, a driving unit for positioning the nozzle and a local camera for a local referencing of the nozzle. A controller controls the driving unit and the expelling of the nozzle to achieve an application of the desired pattern on the target surface. The system also comprises an external referencing device located remote from the graphical application device, for a global referencing of the application device according to the trackable optical feature. The desired pattern is applied with a primary alignment of the application range by the global referencing and with a fine-alignment of the actual tile to a previously applied tile according to the local referencing.
    Type: Application
    Filed: May 1, 2014
    Publication date: August 28, 2014
    Applicant: Hexagon Technology Center GmbH
    Inventors: Bo PETTERSSON, Klaus SCHNEIDER, Benedikt ZEBHAUSER, Knut SIERCKS
  • Publication number: 20140235067
    Abstract: A thin film that has a predetermined composition and containing predetermined elements is formed on a substrate by performing a cycle of steps a predetermined number of times, said cycle comprising: a step wherein a first layer containing the predetermined elements, nitrogen and carbon is formed on the substrate by alternately performing, a predetermined number of times, a process of supplying a first source gas containing a predetermined element and a halogen group to the substrate and a process of supplying a second source gas containing a predetermined element and an amino group to the substrate; a step wherein a second layer is formed by modifying the first layer by supplying an amine-based source gas to the substrate; and a step wherein a third layer is formed by modifying the second layer by supplying a reaction gas that is different from the source gases to the substrate.
    Type: Application
    Filed: September 24, 2012
    Publication date: August 21, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Satoshi Shimamoto, Yoshiro Hirose, Atsushi Sano
  • Publication number: 20140231014
    Abstract: A scope may include an adjustment dial, which may be moved among a plurality of positions to configure the scope to compensate for projectile drops. The adjustment dial may be labeled with dial-calibration data, which may include one or more distance indicators and/or one or more windage hold-off indicators. The scope may be attached to a gun and the dial-calibration data may be at least partially generated using ballistics performance data based on shots fired by the gun. The dial-calibration data may be at least partially generated using shooting conditions. An electronic device may include a derived distance calculation module, which may be configured to use a distance to a target and actual shooting conditions to calculate a derived distance. The derived distance may be used in connection with an adjustment dial labeled with dial-calibration data at least partially generated using shooting conditions different from the actual shooting conditions.
    Type: Application
    Filed: October 18, 2013
    Publication date: August 21, 2014
    Applicant: Huskemaw Optics, LLC
    Inventor: Aaron Davidson
  • Publication number: 20140227886
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes forming a thin film containing a predetermined element, boron, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes forming a first layer containing boron and a halogen group by supplying a first precursor gas containing boron and the halogen group to the substrate; and forming a second layer containing the predetermined element, boron, carbon, and nitrogen by supplying a second precursor gas containing the predetermined element and an amino group to the substrate and modifying the first layer.
    Type: Application
    Filed: February 7, 2014
    Publication date: August 14, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi SANO, Yoshiro HIROSE
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Publication number: 20140220325
    Abstract: A method of forming an SiC crystal including placing a seed crystal of SiC in an insulated graphite container; placing a source of Si and C atoms in the insulated graphite container, where the source of Si and C atoms is for transport to the seed crystal to grow the SiC crystal; placing the container into the furnace; heating a furnace to a temperature from about 2,000° C. to about 2,500° C.; evacuating the furnace to a pressure from about 0.1 Torr and about 100 Torr; filling the furnace with an inert gas; and introducing dopant gas into the furnace with a controlled flow so as to form a plurality of stratified layers wherein each layer has dopant concentration different from a layer directly below and a layer directly above it. A 4H-SiC crystal made by the method. A 4H-SiC substrate cut from the SiC crystal made from the method.
    Type: Application
    Filed: July 8, 2013
    Publication date: August 7, 2014
    Inventor: Mark Loboda
  • Publication number: 20140216336
    Abstract: Disclosed methods cap exposed surfaces of copper lines with a layer of metal or metal-containing compound combined with silicon. In some cases, the metal or metal-containing compound forms an atomic layer. In certain embodiments, the methods involve exposing the copper surface first to a metal containing precursor to form an atomic layer of adsorbed precursor or metal atoms, which may optionally be converted to an oxide, nitride, carbide, or the like by, e.g., a pinning treatment. Subsequent exposure to a silicon-containing precursor may proceed with or without metallic atoms being converted.
    Type: Application
    Filed: April 3, 2014
    Publication date: August 7, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Jengyi Yu, Gengwei Jiang, Pramod Subramonium, Roey Shaviv, Hui-Jung Wu, Nagraj Shankar
  • Publication number: 20140220788
    Abstract: The present disclosure suppresses oxidation of a base film on a substrate surface during the formation of an oxide film. A method of manufacturing a semiconductor device according to the present disclosure includes forming an initial layer including a predetermined element and having a thickness of several atomic layers on a substrate in a process chamber by supplying a predetermined-element-containing gas to the substrate, and forming an oxide film including the predetermined element on the initial layer by performing a cycle a predetermined number of times, the cycle including supplying a precursor gas including the predetermined element to the substrate in the process chamber and supplying an oxygen-containing gas and a hydrogen-containing gas to the substrate heated in the process chamber under a pressure lower than an atmospheric pressure.
    Type: Application
    Filed: January 30, 2014
    Publication date: August 7, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi SANO, Yoshiro HIROSE, Naonori AKAE
  • Publication number: 20140220249
    Abstract: A system and method of applying coating to automotive workpieces is disclosed. A first coating dispensing robot dispenses coating at least a portion of an engine compartment, trunk and internal surfaces of a bed of an automotive workpiece during a first time interval, while a second robot dispenses coating on the exterior surface of fenders and passenger doors of the workpiece over the first time interval. The first robot dispenses coating on the exterior surface of a hood, roof, trunk lid and lift gate over a second time interval and the second robot dispenses coating on the side door interiors over the second time interval.
    Type: Application
    Filed: February 7, 2013
    Publication date: August 7, 2014
    Applicant: ABB Technology AG
    Inventor: Didier Rouaud
  • Publication number: 20140209562
    Abstract: Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by the following operations: (a) exposing the substrate surface to a first reactant in vapor phase under conditions allowing the first reactant to adsorb onto the substrate surface; (b) exposing the substrate surface to a second reactant in vapor phase while the first reactant is adsorbed on the substrate surface; and (c) exposing the substrate surface to plasma to drive a reaction between the first and second reactants adsorbed on the substrate surface to form the film.
    Type: Application
    Filed: March 31, 2014
    Publication date: July 31, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Adrien LaVoie, Shankar Swaminathan, Hu Kang, Ramesh Chandrasekharan, Tom Dorsh, Dennis M. Hausmann, Jon Henri, Thomas Jewell, Ming Li, Bryan Schlief, Antonio Xavier, Thomas W. Mountsier, Bart J. van Schravendijk, Easwar Srinivasan, Mandyam Sriram
  • Patent number: 8784935
    Abstract: A hot melt adhesive system includes a melting unit configured to liquefy a bulk form of hot melt adhesive and deliver the liquefied hot melt adhesive to an application location. The melting unit includes a controller for establishing and/or verifying at least one system condition, such as temperatures associated with system operation. A machine reading unit is coupled with the controller and is capable of receiving information from a machine readable element and communicating the information to the controller for use in establishing and/or verifying the system condition. A method of operating the system includes scanning information on at least one system condition into the controller from a machine readable element, and using the scanned information during operation of the melting unit.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: July 22, 2014
    Assignee: Nordson Corporation
    Inventors: Rick Pallante, John M. Raterman
  • Publication number: 20140199790
    Abstract: A translucent member 41 that has been trial-coated with a resin 8 for measurement of a light emission characteristic is placed on a translucent member placement portion 53, an excitation light that excites a phosphor is emitted from a light source unit 42 disposed above, the resin 8 coated on the translucent member 41 is irradiated with the excitation light from above, a deviation between a measurement result obtained by measuring the light emission characteristic of the light emitted from the resin 8, and a light emission characteristic specified in advance is obtained, and an appropriate resin coating amount of the resin to be coated on the LED element for actual production is derived on the basis of the deviation.
    Type: Application
    Filed: September 11, 2012
    Publication date: July 17, 2014
    Applicant: Panasonic Corporation
    Inventors: Seikou Abe, Masaru Nonomura, Kei Tsunemasa
  • Publication number: 20140199497
    Abstract: Method and apparatus for reducing metal oxide surfaces to modified metal surfaces are disclosed. Metal oxide surfaces are reduced to form a film integrated with a metal seed layer by contacting a solution with a reducing agent with the metal oxide surfaces. The solution with the reducing agent can contact the metal oxide surfaces under conditions that form an integrated film with the metal seed layer, and that reduces reoxidation from exposure the ambient environment. In some embodiments, an additive can be included with the reducing agent to form a surface protecting layer on the metal seed layer. In some embodiments, the metal is copper used in damascene copper structures.
    Type: Application
    Filed: January 14, 2013
    Publication date: July 17, 2014
    Inventors: Tighe A. Spurlin, Steven T. Mayer, Jonathan D. Reid, Artur Kolics, Huanfeng Zhu
  • Publication number: 20140185061
    Abstract: A method and system for real-time, in-line measurements of thicknesses of semiconductor layers of photovoltaic devices is provided. The method and system include taking ex-situ optical data measurements after deposition of the semiconductor layers. The measurements are then used to calculate the thicknesses of the layers in real-time using optical modeling software.
    Type: Application
    Filed: December 19, 2013
    Publication date: July 3, 2014
    Applicant: FIRST SOLAR, INC
    Inventors: Benyamin Buller, David Hwang, Benjamin Milliron, Dale Roberts, Rui Shao, Zhibo Zhao
  • Publication number: 20140170853
    Abstract: Methods and apparatuses for multiple patterning using image reversal are provided. The methods may include depositing gap-fill ashable hardmasks using a deposition-etch-ash method to fill gaps in a pattern of a semiconductor substrate and eliminating spacer etching steps using a single-etch planarization method. Such methods may be performed for double patterning, multiple patterning, and two dimensional patterning techniques in semiconductor fabrication.
    Type: Application
    Filed: December 10, 2013
    Publication date: June 19, 2014
    Inventors: Nader Shamma, Bart van Schravendijk, Sirish Reddy, Chunhai Ji
  • Publication number: 20140170332
    Abstract: To appropriately form a metal-containing film containing metal on a substrate, a method first forms an organic film on the substrate, and causes a treatment agent to enter the organic film and causes metal to infiltrate the organic film via the treatment agent, thereby forming the metal-containing film. The metal-containing film contains metal and thus has a high etching selection ratio that is originally required performance. This makes it possible to appropriately form the metal-containing film having a high etching selection ratio on the substrate.
    Type: Application
    Filed: December 6, 2013
    Publication date: June 19, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Fumiko IWAO, Satoru SHIMURA
  • Publication number: 20140170858
    Abstract: Provided is a method including forming a film including a predetermined element, oxygen and at least one element selected from a group consisting of nitrogen, carbon and boron on a substrate by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate wherein the source gas contains the predetermined element, chlorine and oxygen with a chemical bond of the predetermined element and oxygen, and supplying a reactive gas to the substrate wherein the reactive gas contains the at least one element selected from the group consisting of nitrogen, carbon and boron.
    Type: Application
    Filed: December 11, 2013
    Publication date: June 19, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Katsuyoshi HARADA, Yoshiro HIROSE, Atsushi SANO
  • Publication number: 20140162451
    Abstract: Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.
    Type: Application
    Filed: December 4, 2013
    Publication date: June 12, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Feng Chen, Raashina Humayun, Michal Danek, Anand Chandrashekar
  • Publication number: 20140161992
    Abstract: There is provided a Cu wiring forming method for forming a Cu wiring by filling Cu in a recess, which is formed in a predetermined pattern in a Si-containing film of a substrate. The Cu wiring forming method includes forming a Mn film, which becomes a self-aligned barrier film by reaction with an underlying base, at least on a surface of the recess by chemical vapor deposition, forming a Cu film by a physical vapor deposition to fill the recess with the Cu film, and forming a Cu wiring in the recess by polishing the entire surface of the substrate by a chemical mechanical polishing.
    Type: Application
    Filed: December 5, 2013
    Publication date: June 12, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Tadahiro ISHIZAKA, Kenji SUZUKI, Atsushi SHIMADA
  • Patent number: 8739731
    Abstract: A delivery assembly for depositing a gasket made of polymeric material within a seat having a predefined shape provided within a product, which comprises at least one working head provided with a nozzle for dispensing the polymeric material substantially in the liquid state. An increase in volume is associated with the polymeric material at the phase transition from liquid to solid; the expanded gasket, due to the increase in volume, defines by interference a stable coupling with the seat.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: June 3, 2014
    Assignee: G.T. Line S.r.l.
    Inventor: Massimo Tonelli
  • Publication number: 20140145135
    Abstract: Provision of fabrication, construction, and/or assembly of a two-terminal memory device is described herein. The two-terminal memory device can include an active region with a silicon bearing layer, an interface layer, and an active metal layer. The interface layer can created comprising a non-stoichimetric sub-oxide that can be a combination of multiple silicon and/or silicon oxide layers with an aggregate chemical formula of SiOX, where X can be a non-integer greater than zero and less than 2. The sub-oxide can be created in a variety of ways, including various techniques related to growing the sub-oxide, depositing the sub-oxide, or transforming an extant film into the sub-oxide.
    Type: Application
    Filed: September 13, 2013
    Publication date: May 29, 2014
    Applicant: Crossbar, Inc.
    Inventors: Harry Yue GEE, Mark Harold CLARK, Steven Patrick MAXWELL, Sung Hyun JO, Natividad VASQUEZ, JR.
  • Publication number: 20140144379
    Abstract: Systems and methods for plasma doping microfeature workpieces are disclosed herein. In one embodiment, a method of implanting boron ions into a region of a workpiece includes generating a plasma in a chamber, selectively applying a pulsed electrical potential to the workpiece with a duty cycle of between approximately 20 percent and approximately 50 percent, and implanting an ion specie into the region of the workpiece.
    Type: Application
    Filed: February 3, 2014
    Publication date: May 29, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Shu Qin, Allen McTeer
  • Publication number: 20140147591
    Abstract: A film deposition method includes rotating a rotary table by a first angle while supplying a separation gas from a separation gas supplying part and a first reaction gas from a first gas supplying part; supplying a second reaction gas from a second gas supplying part and rotating the rotary table by a second angle while supplying the separation gas from the separation gas supplying part and the first reaction gas from the first gas supplying part; rotating the rotary table by a third angle while supplying the separation gas from the separation gas supplying part and the first reaction gas from the first gas supplying part; and supplying a third reaction gas from the second gas supplying part and rotating the rotary table by a fourth angle while supplying the separation gas and the first reaction gas.
    Type: Application
    Filed: November 25, 2013
    Publication date: May 29, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Hiroaki IKEGAWA, Masahiko Kaminishi, Kosuke Takahashi, Yu Sasaki, Jun Ogawa
  • Patent number: 8735304
    Abstract: A method of forming a dielectric film including a zirconium oxide film includes: forming a zirconium oxide film on a substrate to be processed by supplying a zirconium material and an oxidant, the zirconium material including a Zr compound which includes a cyclopentadienyl ring in a structure, and forming a titanium oxide film on the zirconium oxide film by supplying a titanium material and an oxidant, the titanium material including a Ti compound which includes a cyclopentadienyl ring in a structure.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: May 27, 2014
    Assignees: Elpida Memory Inc., Tokyo Electron Limited
    Inventors: Yuichiro Morozumi, Takuya Sugawara, Koji Akiyama, Shingo Hishiya, Toshiyuki Hirota, Takakazu Kiyomura
  • Publication number: 20140134345
    Abstract: A plating apparatus of performing a plating process by supplying a plating liquid onto a substrate includes a substrate holding/rotating device configured to hold and rotate the substrate; a discharging device configured to discharge the plating liquid toward the substrate; a plating liquid supplying device configured to supply the plating liquid to the discharging device; and a controller configured to control the discharging device and the plating liquid supplying device. Further, the discharging device includes a first nozzle having a discharge opening, and a second nozzle having a discharge opening configured to be positioned closer to a central portion of the substrate than the discharge opening of the first nozzle. Furthermore, the plating liquid supplying device is configured to set a temperature of the plating liquid supplied to the first nozzle to be higher than a temperature of the plating liquid supplied to the second nozzle.
    Type: Application
    Filed: June 4, 2012
    Publication date: May 15, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yuichiro Inatomi, Takashi Tanaka, Mitsuaki Iwashita
  • Publication number: 20140123895
    Abstract: A plasma process apparatus includes a vacuum chamber; a substrate holder configured to hold a substrate; a gas supplying part configured to supply a plasma generating gas into the vacuum chamber; an antenna configured to be supplied with a high-frequency power and generate an electromagnetic field for generating plasma of the plasma generating gas; a Faraday shield disposed between the antenna and an area where the plasma is generated and composed of a conductive plate where a plurality of slits, which extend in a direction that intersects with an extending direction in which the antenna extends and are arranged in the extending direction of the antenna, are formed to block an electric field in the electromagnetic field and to allow a magnetic field in the electromagnetic field to pass therethrough; and an adjusting part composed of a conductive material and configured to adjust an opening area of the slits.
    Type: Application
    Filed: October 25, 2013
    Publication date: May 8, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Hitoshi KATO, Shigehiro MIURA
  • Publication number: 20140127410
    Abstract: A liquid displacement is performed by supplying a plating liquid onto a substrate 2 while rotating the substrate 2 at a first rotational speed in a state that a pre-treatment liquid remains on a surface of the substrate 2 (liquid displacement process (block S305)). Then, an initial film is formed on the substrate 2 by stopping the rotation of the substrate 2 or by rotating the substrate 2 at a second rotational speed while continuously supplying the plating liquid onto the substrate 2 (incubation process (block S306)). Thereafter, a plating film is grown by rotating the substrate 2 at a third rotational speed while continuously supplying the plating liquid onto the substrate 2 (plating film growing process (block S307)). Here, the first rotational speed is higher than the third rotational speed, and the third rotational speed is higher than the second rotational speed.
    Type: Application
    Filed: June 20, 2012
    Publication date: May 8, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobutaka Mizutani, Takashi Tanaka, Mitsuaki Iwashita
  • Publication number: 20140117551
    Abstract: A processing system for forming a film on a target object having thereon an insulating layer that is made of a low-k film and having a recess is provided. The processing system comprises: a processing apparatus configured to form a first-metal-containing film containing a first metal on a surface of the target object; a processing apparatus configured to form a second-metal-containing film containing Mn as a second metal having a barrier property against a filling metal to be filled in the recess; a processing apparatus configured to form a thin film made of a third metal as the filling metal to be filled; a common transfer chamber connected with each of the processing apparatuses; a transfer unit for transferring the target object into each of the processing apparatuses; and a system controller that controls the whole processing system so as to perform a film forming method.
    Type: Application
    Filed: January 8, 2014
    Publication date: May 1, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Hidenori Miyoshi
  • Publication number: 20140120264
    Abstract: A plating apparatus 20 has a substrate holding/rotating device 110 configured to hold and rotate a substrate 2 and a plating liquid supplying device 30 configured to supply a plating liquid 35 onto the substrate 2. The plating liquid supplying device 30 has a supply tank 31 configured to store therein the plating liquid 35 to be supplied onto the substrate 2, a discharge nozzle 32 configured to discharge the plating liquid 35 onto the substrate 2 and a plating liquid supplying line 33 through which the plating liquid 35 within the supply tank 31 is supplied into the discharge nozzle 32. Further, an ammonia gas storage unit 170 is connected to the supply tank 31, and a concentration of an ammonia component within the plating liquid 35 stored in the supply tank 31 can be maintained within a preset target range.
    Type: Application
    Filed: June 7, 2012
    Publication date: May 1, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yuichiro Inatomi, Takashi Tanaka, Osamu Kuroda, Mitsuaki Iwashita, Yusuke Saito
  • Publication number: 20140120737
    Abstract: Methods and apparatus for depositing continuous thin films using plasma-activated sub-saturated atomic layer deposition are provided herein. According to various embodiments, pin-hole free continuous films may be deposited at thicknesses thinner than achievable with conventional methods. The methods and apparatus also provide high degree of thickness control, with films a per-cycle thickness tunable to as low as 0.1 ? in some embodiments. Further, the methods and apparatus may be used to provide films having improved properties, such as lower wet etch rate, in some embodiments.
    Type: Application
    Filed: October 23, 2013
    Publication date: May 1, 2014
    Applicant: Lam Research Corporation
    Inventors: Shankar Swaminathan, Hu Kang, Adrien Lavoie
  • Publication number: 20140100685
    Abstract: A hot glue application system includes a melter and components attached to the melter. The components comprise at least one heatable feed hose, and at least one heatable application valve. The components comprise a data storage medium which is configured to be machine-readable which comprises on at least one of data transmitted for a component-specific control parameter, during an initialization, cyclically, and when a change is made in a system configuration.
    Type: Application
    Filed: December 11, 2013
    Publication date: April 10, 2014
    Applicant: BAUMER HHS GMBH
    Inventors: DIETER BALDAUF, SWEN SCHNEIDER
  • Publication number: 20140090594
    Abstract: A control unit heats a reaction pipe to a load temperature by controlling a temperature-raising heater 16, and then makes semiconductor wafers received in the reaction pipe. Next, the control unit heats the reaction pipe in which the semiconductor wafers are received to a film formation temperature by controlling the temperature-raising heater, and then forms thin films on the semiconductor wafers by supplying a film forming gas into the reaction pipe from a process gas introducing pipe. Also, the control unit sets the load temperature to a temperature higher than the film formation temperature.
    Type: Application
    Filed: December 4, 2013
    Publication date: April 3, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshiyuki IKEUCHI, Pao-Hwa CHOU, Kazuya YAMAMOTO, Kentarou SERA
  • Publication number: 20140093984
    Abstract: In a substrate processing apparatus 1 which performs a process on a substrate W, each of multiple processing modules 2 includes at least a first processing member 21 and a second processing member 22, and substrate transfer devices 15 and 17 transfer substrates W into the multiple processing modules 2. Further, a controller 3 configured to control the substrate processing apparatus 1 stores member operating possibility information on whether it is possible to use the first processing member 21 and the second processing member 22 provided in each of the multiple processing modules 2, and the controller 3 creates, based on the member operating possibility information and process recipe information on processes to be performed on the substrates W, a transfer schedule in which the substrate transfer devices 15 and 17 transfer the substrates W into the multiple processing modules 2 in parallel.
    Type: Application
    Filed: September 9, 2013
    Publication date: April 3, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kouichi Itou, Masahiro Nasu, Daisuke Honma
  • Publication number: 20140087568
    Abstract: A method of cleaning an interior of a process chamber by supplying a cleaning gas into the process chamber after a process of forming a thin film on a substrate in the process chamber is performed, including alternately repeating changing a pressure in the process chamber from a first pressure range to a second pressure range, and changing the pressure in the process chamber from the second pressure range to the first pressure range. In this method, when the pressure in the process chamber is changed to the first pressure range, the pressure in the process chamber is changed to the first pressure range without being maintained at the second pressure range, and when the pressure in the process chamber is changed to the second pressure range, the pressure in the process chamber is changed to the second pressure range without being maintained at the first pressure range.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 27, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki NODA, Masatoshi TAKADA
  • Publication number: 20140084389
    Abstract: Provided are a semiconductor device manufacturing method by which a semiconductor device in which a threshold voltage is suppressed from changing can be manufactured, a substrate processing method and apparatus, a non-transitory computer-readable recording medium, and the semiconductor device. The semiconductor device manufacturing method includes forming an amorphous first oxide film including a first element on a substrate, and modifying the first oxide film to an amorphous second oxide film including the first element and a second element different from the first element by adding the second element to the first oxide film. The first element includes at least one element selected from a group consisting of aluminum, yttrium and lanthanum. A concentration of the second element in the second oxide film is controlled to be lower than that of the first element in the second oxide film.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 27, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventor: Arito Ogawa
  • Publication number: 20140083359
    Abstract: Disclosed is an inexpensive small-sized screen printing machine having low redundancy and high production efficiency and applicable to a dual conveying-type component mounting machine. The screen printing machine comprises a pair of substrate supporting tables provided to hold a respective substrate that is a print object and which is juxtaposed in a direction that is perpendicular to a conveying direction of the substrate, and a print executing section that performs a printing process alternately on the substrate held by the pair of substrate supporting tables. Due to the print executing section being driven in the direction under control of a control unit, a print position where the substrate supporting tables execute alternate printing is changed within a range in which one of the pair of substrate supporting tables and the other of the pair of substrate supporting tables oppose each other in the direction.
    Type: Application
    Filed: March 14, 2012
    Publication date: March 27, 2014
    Applicant: YAMAHA HATSUDOKI KABUSHIKI KAISHA
    Inventors: Yasushi Miyake, Takeshi Fujimoto