Multizone Chamber Patents (Class 118/719)
  • Patent number: 8101054
    Abstract: A magnetic particle trapper for use in a sputtering system includes a roller cover plate having a plurality of openings arranged and dimensioned to accommodate a plurality of rollers associated with a mechanical transport mechanism of the sputtering system, and a plurality of magnets to trap magnetic particles, the plurality of magnets being attached to the roller cover plate in locations proximate to the plurality of openings.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: January 24, 2012
    Assignee: WD Media, Inc.
    Inventors: Jinliang Chen, Kinya Nippa
  • Patent number: 8097084
    Abstract: A vacuum chamber system for semiconductor processing includes at least two evacuable vacuum chambers for receiving semiconductor elements to be processed, each including a vacuum chamber opening and a vacuum chamber sealing surface, and transfer aspects by which one of the vacuum chambers can be moved relative to another of the vacuum chambers and can be docked with it in a vacuum-tight manner by producing substantially parallel opposite positions of the vacuum chamber sealing surfaces which are subject to possible misalignments. At least one of the vacuum chambers has support aspects which support one vacuum chamber on the other vacuum chamber in the evacuated, docked state.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: January 17, 2012
    Assignee: Vat Holding AG
    Inventors: Friedrich Geiser, Rene Brulc
  • Publication number: 20120006265
    Abstract: A method and apparatus for atomic layer deposition (ALD) is described. In one embodiment, an apparatus comprises a vacuum chamber body having a contiguous internal volume comprised of a first deposition region spaced-apart from a second deposition region, the chamber body having a feature operable to minimize intermixing of gases between the first and the second deposition regions, a first gas port formed in the chamber body and positioned to pulse gas preferentially to the first deposition region to enable a first deposition process to be performed in the first deposition region, and a second gas port formed in the chamber body and positioned to pulse gas preferentially to the second deposition region to enable a second deposition process to be performed in the second deposition region is provided.
    Type: Application
    Filed: September 19, 2011
    Publication date: January 12, 2012
    Inventors: BARRY L. CHIN, Alfred W. Mak, Lawrence Chung-Lai Lei, Ming Xi, Hua Chung, Ken Kaung Lai, Jeong Soo Byun
  • Publication number: 20120009765
    Abstract: Embodiments of the present invention generally relate to apparatus for improving processing uniformity and reducing needs of chamber cleaning. Particularly, embodiments of the present invention relate to a processing chamber having a loading compartment and a processing compartment in substantial fluid isolation and methods of depositing films in the processing chamber.
    Type: Application
    Filed: July 12, 2011
    Publication date: January 12, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Donald J.K. Olgado
  • Publication number: 20120009728
    Abstract: An apparatus and a method for manufacturing a CIGS solar cell are disclosed. The apparatus includes a buffer chamber, a first chamber, a second chamber and a mechanical device. The first chamber and the second chamber are located adjacent to the buffer chamber respectively. The mechanical device moves a substrate among the buffer chamber, the first chamber and the second chamber. The first chamber includes a deposition device for depositing a back electrode layer onto the substrate. The second chamber includes heat treatment device and for becoming a thin-film layer onto the back electrode layer.
    Type: Application
    Filed: September 24, 2010
    Publication date: January 12, 2012
    Applicant: GCSOL TECH CO., LTD.
    Inventor: Yan-Way LI
  • Publication number: 20120006266
    Abstract: A sputtering apparatus includes a susceptor for receiving a substrate, and a first target device disposed to be opposite to a center region of a substrate and at least second and third target devices disposed to be opposite to peripheral regions of the substrate, wherein the second and third target devices are rotatable.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Inventors: Tae Hyun LIM, Hwan Kyu YOO, Byung Han YUN
  • Publication number: 20120000423
    Abstract: An HDP-CVD system is described, including an HDP-CVD chamber for depositing a material on a wafer, and a pre-heating chamber disposed outside of the HDP-CVD chamber to pre-heat the wafer, before the wafer is loaded in the HDP-CVD chamber, to a temperature higher than room temperature and required in the deposition step to be conducted in the HDP-CVD chamber. The pre-heating chamber is equipped with a heating lamp for the pre-heating. The wafer has been formed with a trench before being pre-heated.
    Type: Application
    Filed: September 9, 2011
    Publication date: January 5, 2012
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Tuung Luoh, Chin-Ta Su, Ta-Hung Yang, Kuang-Chao Chen, Shing-Ann Luo
  • Patent number: 8088224
    Abstract: The present inventions provide method and apparatus that employ constituents vaporized from one or more constituent supply source or sources to form one or more films of a precursor layer formed on a surface of a continuous flexible workpiece. Of particular significance is the implementation of vapor deposition systems that operate upon a horizontally disposed portion of a continuous flexible workpiece and a vertically disposed portion of a continuous flexible workpiece, preferably in conjunction with a short free-span zone of the portion of a continuous flexible workpiece.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: January 3, 2012
    Assignee: SoloPower, Inc.
    Inventors: Jorge Vasquez, James Freitag, Mustafa Pinarbasi
  • Patent number: 8088678
    Abstract: A first aspect of the present invention provides a semiconductor manufacturing apparatus including: a load lock chamber; a transfer chamber; and a treatment chamber 1 and a treatment chamber 2 which carry out treatment using plasma, wherein, in the treatment chamber 2, an exhaust means is provided with a control means for making an oxygen partial pressure into 1*10?5 [Pa] or less.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: January 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naomu Kitano, Takashi Minami, Motomu Kosuda, Heiji Watanabe
  • Publication number: 20110318503
    Abstract: A system and method for combined material deposition and plasma and/or controlled atmosphere treatment processing of substrates. In one variation, plasma and/or controlled atmosphere treatment and deposition are performed using a single processing system with multiple processing areas. In another variation, plasma and/or controlled atmosphere treatment and deposition are performed using a single processing system with a single processing area. Variations of deposition include printing or direct-write techniques. Processing areas may be atmospherically controlled or selectively sealable.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 29, 2011
    Inventors: Christian ADAMS, Matthew Kelley
  • Publication number: 20110312187
    Abstract: A manufacturing apparatus for a semiconductor device, including: a reaction chamber configured to perform film formation on a wafer; a process gas supplying mechanism provided in an upper part of the reaction chamber and configured to introduce process gas to an interior of the reaction chamber; a gas discharging mechanism provided in a lower part of the reaction chamber and configured to discharge gas from the reaction chamber; a supporting member configured to hold the wafer; a cleaning gas supplying mechanism provided in an outer periphery of the supporting member and configured to emit cleaning gas in an outer periphery direction below an upper end of the supporting member; a heater configured to heat the wafer; and a rotary driving mechanism configured to rotate the wafer.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 22, 2011
    Inventors: Kunihiko Suzuki, Hideki Ito
  • Publication number: 20110309050
    Abstract: The present invention provides a plasma processing device and a plasma processing method that can easily adjust plasma density distribution while making the plasma density uniform, and a method of manufacturing an element including a substrate to be processed. In an embodiment of the present invention, the inside of a vacuum vessel (1) is divided by a grid (4) having communication holes into a plasma generation chamber (2) and a plasma processing chamber (5). On the upper wall (26) of the plasma generation chamber (2), magnetic coils (12) are arranged such that magnetic field lines within the vacuum vessel (1) point from the center of the vacuum vessel (1) to a side wall (27), and, outside the side wall (27) of the plasma generation chamber (2), ring-shaped permanent magnets (13) are arranged such that a polarity pointing to the inside of the vacuum vessel (1) is a north pole and a polarity pointing to the outside of the vacuum vessel (1) is a south pole.
    Type: Application
    Filed: July 29, 2011
    Publication date: December 22, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Kazuyuki Iori, Yukito Nakagawa
  • Publication number: 20110308457
    Abstract: The invention relates to an apparatus for treating an object using a plasma process. The apparatus comprises a plasma reactor including a metal cylinder covered by a dielectric layer. Further, the apparatus comprises an electrode structure arranged radially outside the metal cylinder for generating the plasma process. The apparatus also comprises a supporting structure for locating the object to be treated at a pre-defined distance from the plasma reactor.
    Type: Application
    Filed: December 29, 2009
    Publication date: December 22, 2011
    Applicant: NEDERLANDSE ORGANISATIE VOOR TOEGEPASTNATUURWETENS CHAPPELIJK ONDERZOEK TNO
    Inventors: Marcel Simor, Paul Petrus Maria Blom
  • Publication number: 20110308458
    Abstract: Provided is a thin film deposition apparatus. The thin film deposition apparatus includes a substrate support unit configured to support a substrate; and a shower head disposed above the substrate support unit to supply a process gas to the substrate. The shower head includes: an upper plate including a plurality of gas channels forming process gas flow paths and gas injection holes formed in the gas channels, high-frequency power being applied to the upper plate to excite the process gas into plasma; a baffle plate disposed under the upper plate and including a plurality of holes to uniformly distribute the process gas; and an injection plate disposed under the baffle plate to inject the process gas supplied through the baffle plate to a substrate.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 22, 2011
    Applicant: SEMES CO., LTD.
    Inventors: Bo Ramchan Sung, Kyo Woog Koo, Jung Keun Cho
  • Publication number: 20110308456
    Abstract: A coating apparatus for coating a number of workpieces includes a deposition chamber, a reaction assembly, and a driving assembly. The deposition chamber includes a housing defining a cavity. The reaction assembly is received in the deposition chamber and includes an outer barrel, an inner barrel, a number of nozzles, and a number of pipes. The housing and the outer barrel define a reaction chamber therebetween. The outer barrel includes a main body and two protruding portions extending from the main body. The workpieces are positioned on the protruding portions. The main body and the inner barrel define a first room therebetween. The inner barrel defines a second room. The pipes communicate the second room with the reaction chamber. The nozzles communicate the first room with the reaction chamber. The driving assembly is connected to the reaction assembly and configured for rotating the reaction assembly in the cavity.
    Type: Application
    Filed: December 31, 2010
    Publication date: December 22, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: SHAO-KAI PEI
  • Publication number: 20110312189
    Abstract: A substrate treating apparatus is provided. The substrate treating apparatus includes a loading/unloading unit, a process unit in which a substrate treating process is performed, a loadlock unit disposed between the loading/unloading unit and the process unit, and a carrying member transferring a substrate between the process unit and the loadlock unit. Herein, the carrying member is provided in the process unit and the loadlock unit, and the loading/unloading unit, the loadlock unit, and the process unit are sequentially disposed.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 22, 2011
    Applicant: SEMES CO., LTD.
    Inventors: Sungho KIM, Choonsik KIM, Yongtaek EOM, Hyuntaek OH, Hyungkeun PARK
  • Publication number: 20110303149
    Abstract: The present invention refers to a coating device for coating of substrates comprising at least two process chambers (1, 2, 3, 4) being disposed adjacent to each other, a separating plate (9) between the two adjacent process chambers, and pumping means (12, 13) for evacuating the process chambers, wherein the separating plate (9) comprises a conduit having at least two ends, one end of which is connected with the pumping means and the other end has at least one suction opening for at least one of the process chambers.
    Type: Application
    Filed: August 22, 2011
    Publication date: December 15, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Oliver Heimel, Hans Wolf, Joerg Krempel-Hesse, Frank Fuchs
  • Publication number: 20110305836
    Abstract: An atomic layer deposition apparatus, which forms a thin film on a substrate, includes a first container that defines a first inner space and includes a substrate carrying-in and carrying-out port and a gas introduction port in different positions, the substrate being carried in and out through the substrate carrying-in and carrying-out port, gas being introduced through the gas introduction port to form the thin film on the substrate, a second container that is provided in the first container to define a second inner space separated from the first inner space, the second container including a first opening, a first moving mechanism that moves the second container in a predetermined direction, and a controller that controls the first moving mechanism such that the second container is moved to a first position where the substrate carrying-in and carrying-out port and the first opening are located opposite each other when the substrate is carried in and out, the controller controlling the first moving mechanism
    Type: Application
    Filed: March 3, 2010
    Publication date: December 15, 2011
    Applicant: MITSUI ENGINEERING & SHIPBUILDING CO., LTD.
    Inventors: Kazutoshi Murata, Yasunari Mori
  • Publication number: 20110303148
    Abstract: An enclosure for generating a secondary environment within a processing chamber for coating a substrate. An enclosure wall forms a secondary environment encompassing the coating source, plasma, and the substrate, and separating them from interior of the processing chamber. The enclosure wall includes a plurality of pumping channels for diverting gaseous flow away from the substrate. The channels have an intake of larger diameter from the exhaust opening and are oriented at an angle with the intake opening pointing away from the deposition source. A movable seal enables transport of the substrate in open position and processing the substrate in closed position. The seal may be formed as a labyrinth seal to avoid particle generation from a standard contact seal.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 15, 2011
    Inventors: Jun XIE, Kevin P. Fairbairn, Charles Liu, Patrick Leahey, Robert L. Ruck, Terry Bluck
  • Publication number: 20110303151
    Abstract: The present invention refers to a coating device for coating of substrates comprising at least two process chambers (1, 2, 3, 4) being disposed adjacent to each other, a separating plate (9) between the two adjacent process chambers, and pumping means (12, 13) for evacuating the process chambers, wherein the separating plate (9) comprises a conduit having at least two ends, one end of which is connected with the pumping means and the other end has at least one suction opening for at least one of the process chambers.
    Type: Application
    Filed: August 22, 2011
    Publication date: December 15, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Oliver Heimel, Hans Wolf, Joerg Krempel-Hesse, Frank Fuchs
  • Publication number: 20110303150
    Abstract: The present invention refers to a coating device for coating of substrates comprising at least two process chambers (1, 2, 3, 4) being disposed adjacent to each other, a separating plate (9) between the two adjacent process chambers, and pumping means (12, 13) for evacuating the process chambers, wherein the separating plate (9) comprises a conduit having at least two ends, one end of which is connected with the pumping means and the other end has at least one suction opening for at least one of the process chambers.
    Type: Application
    Filed: August 22, 2011
    Publication date: December 15, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Oliver Heimel, Hans Wolf, Joerg Krempel-Hesse, Frank Fuchs
  • Publication number: 20110305833
    Abstract: Apparatus for treating and/or coating the surface of substrate components by deposition from the gas phase. A plurality of substrate carriers and a plurality of coating and/or treating units are arranged in a deposition or treatment chamber which can be evacuated. The system can be equipped in a modular fashion such that the substrate components introduced into the system in a batch can be subjected to different treatments. Method for treating and/or coating the surface of substrate components. The procedure comprises: a) compiling coating and/or treating units and shielding elements from modules in the deposition or treatment chamber; b) equipping the substrate carriers with those substrate components that are to be subjected to the same treatment; c) closing the deposition or treatment chamber; and d) carrying out the individual treatment or coating programs for the substrate components combined in groups on the substrate carriers in one batch.
    Type: Application
    Filed: May 10, 2011
    Publication date: December 15, 2011
    Applicant: GUEHRING OHG
    Inventor: Mario Fiedler
  • Patent number: 8075730
    Abstract: In a semiconductor device manufacturing method, an etching mask (75b) having a predetermined opening pattern is formed on an etching target film (74) disposed on a target object. Then, an etching process is performed on the etching target film (74) through the opening pattern of the etching mask (75b) within a first process chamber, thereby forming a groove or hole (78a) in the etching target film. Then, the target object treated by the etching process is transferred from the first process chamber to a second process chamber, within a vacuum atmosphere. Then, a silylation process is performed on a side surface of the groove or hole (78a), which is an exposed portion of the etching target film (74), within the second process chamber.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: December 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Satoru Shimura, Kazuhiro Kubota, Ryuichi Asako, Seiichi Takayama
  • Patent number: 8070926
    Abstract: A multi-chamber treatment/processing apparatus includes: a means for controlling/regulating operation of the apparatus providing the following operational sequence: performing a treatment/processing of at least one magnetic and/or magneto-optical disk in treatment/processing chambers, while each inlet gate and each outlet gate of each treatment/processing chamber is in a closed position and gas is exhausted from each buffer/isolation chamber; opening each of the inlet and outlet gates of each of the treatment/processing chambers and transporting the at least one magnetic and/or magneto-optical disk therein to the adjacent buffer/isolation chamber; closing the outlet gate of each of the treatment/processing chambers; transporting each of the magnetic and/or magneto-optical disk through a respective buffer/isolation chamber and initiating a flow of a respective gas to each treatment/processing chamber; and closing the inlet gate of each treatment/processing chamber immediately upon completion of entry of the at
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: December 6, 2011
    Assignee: Seagate Technology LLC
    Inventors: Thomas Patrick Nolan, Jeffrey Shane Reiter
  • Patent number: 8070880
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a reaction tube, a substrate holder, a gas nozzle, a heating unit, a temperature detector, and an exhaust unit. The reaction tube accommodates and processes substrates. The substrate holder holds substrates stacked at predetermined intervals in the reaction tube. The gas nozzle is installed along a stacked direction of the substrates. The heating unit heats the substrates. The temperature detector is installed along the stacked direction of the substrates. The exhaust unit exhausts an inside atmosphere of the reaction tube. Each of the gas nozzle and the temperature detector includes first and second parts and is supported by a narrow tube supporting member including first and second supporting parts. The first supporting part makes contact with the first part. The second supporting part is parallel with the second part and supports the second part.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: December 6, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Tetsuya Marubayashi, Yasuhiro Inokuchi
  • Publication number: 20110290175
    Abstract: A multi-chamber CVD system includes a plurality of substrate carriers where each substrate carrier is adapted to support at least one substrate. A plurality of enclosures are each configured to form a deposition chamber enclosing one of the plurality of substrate carriers to maintain an independent chemical vapor deposition process chemistry for performing a processing step. A transport mechanism transports each of the plurality of substrate carriers to each of the plurality of enclosures in discrete steps that allow processing steps to be performed in the plurality of enclosures for a predetermined time. In some embodiments, the substrate carrier can be rotatable.
    Type: Application
    Filed: July 18, 2011
    Publication date: December 1, 2011
    Applicant: VEECO INSTRUMENTS, INC.
    Inventors: Ajit Paranjpe, Eric A. Armour, William E. Quinn
  • Patent number: 8066815
    Abstract: A multi-workpiece chamber includes at least two processing stations, for exposing workpieces to a treatment process. A partition cooperates with the chamber such that the partition is disengagably removable from the chamber and re-engagable with the chamber for selectively dividing the processing stations. The partition is configured to provide for non-line-of-sight travel of certain ones of the process related products between the processing stations. An exhaust arrangement divides exhaust flow into at least two approximately equal exhaust flow portions that leave the multi-workpiece chamber in a way which enhances uniformity of the treatment process for the stations. A partition configuration is described including a partition portion between the stations and a baffle portion extending into an exhaust arrangement. A modified partition arrangement is provided for use in establishing a modified exchange characteristic of the process related products.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: November 29, 2011
    Assignee: Mattson Technology, Inc.
    Inventors: Daniel J. Devine, Rene George, Ce Qin, Dixit Desai
  • Patent number: 8061297
    Abstract: According to the present disclosure, a printer apparatus may include a chuck configured to support a substrate thereon, a rail spaced apart from the chuck, a printhead carriage frame coupled to the rail, and a printhead carriage coupled to the printhead carriage frame. The printhead carriage may include a printhead and an actuation mechanism. The actuation assembly may be coupled to the printhead carriage and may be selectively engagable with the printhead for selective displacement of the printhead relative to the printhead carriage.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: November 22, 2011
    Assignee: Ulvac, Inc.
    Inventors: David Albertalli, Paul A. Parks, Scott D. Slade, Roy M. Patterson
  • Publication number: 20110277681
    Abstract: The present invention provides improved gas injectors for use with chemical vapour deposition (CVD) systems that thermalize gases prior to injection into a CVD chamber. The provided injectors are configured to increase gas flow times through heated zones and include gas-conducting conduits that lengthen gas residency times in the heated zones. The provided injectors also have outlet ports sized, shaped, and arranged to inject gases in selected flow patterns. The invention also provides CVD systems using the provided thermalizing gas injectors. The present invention has particular application to high volume manufacturing of GaN substrates.
    Type: Application
    Filed: February 17, 2010
    Publication date: November 17, 2011
    Inventors: Chantal Arena, Ronald Thomas Bertram, JR., Ed Lindow
  • Publication number: 20110281038
    Abstract: The present invention relates to a method for manufacturing a light-emitting device. At least one of a light-emitting film forming step, a conductive film forming step and an insulating film forming step is carried out while holding a substrate in a manner that an angle subtended by a surface of the substrate and the direction of gravity is within a range of from 0 to 30°.
    Type: Application
    Filed: April 8, 2011
    Publication date: November 17, 2011
    Inventors: Shunpei Yamazaki, Takashi Hamada, Satoshi Seo
  • Publication number: 20110277689
    Abstract: Methods and apparatus for processing substrates are disclosed herein. The process chamber includes a chamber body, a substrate support pedestal, a pump port and a gas injection funnel. The chamber body has an inner volume and the substrate support pedestal is disposed in the inner volume of the chamber body. The pump port is coupled to the inner volume and is disposed off-center from a central axis of the substrate support pedestal. The pump port provides azimuthally non-uniform pumping proximate to a surface of the substrate support pedestal and creates localized regions of high pressure and low pressure within the inner volume during use. The gas injection funnel is disposed in a ceiling of the chamber body and opposite the substrate support pedestal. The gas injection funnel is offset from the central axis of the substrate support pedestal and is disposed in a region of low pressure.
    Type: Application
    Filed: July 26, 2011
    Publication date: November 17, 2011
    Inventors: Nir Merry, Son T. Nguyen
  • Patent number: 8060252
    Abstract: Methods correcting wafer position error are provided. The methods involve measuring wafer position error on a robot, e.g. a dual side-by-side end effector robot, during transfer to an intermediate station. This measurement data is then used by a second robot to perform wafer pick moves from the intermediate station with corrections to center the wafer. Wafer position correction may be performed at only one location during the transfer process. Also provided are systems and apparatuses for transferring wafers using an intermediate station.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Damon Genetti
  • Publication number: 20110274837
    Abstract: An ALD reactor for treating one or more substrates is provided. The ALD reactor includes at least one reaction chamber which has a front plate including gas connections for introducing starting materials, flushing gases and the like gases into the reaction chamber. In addition, the front plate is arranged for being placed over the substrate for closing the reaction chamber and at distance from the substrate surface for opening the reaction chamber such that the substrate is arranged for being loaded below, above or in front of the front plate, when the reaction chamber is in the open state, in which the front plate is at a distance from the substrate and such that the substrate is treatable by the ALD method in the closed state of the reaction chamber, in which the front plate is placed onto the substrate.
    Type: Application
    Filed: February 8, 2010
    Publication date: November 10, 2011
    Applicant: BENEQ OY
    Inventors: Pekka Soininen, Jarmo Skarp
  • Publication number: 20110274838
    Abstract: The system (1) for the continuous vacuum coating of a continuously suppliable material in web form (2) provided with feed means; at least one inlet chamber (4), wherein the transition between the inlet atmospheric pressure and the vacuum pressure of a coating chamber (5) incorporating at least one vacuum deposition module (6) for depositing metallic and/or dielectric components on the material in web form is carried out; at least one outlet chamber (7); and collecting means (8) which collect the coated material in web form. The system further comprises drive and support means (9), on which the material in web form is fixed for its transport and by one of its faces, which material follows a preferably straight path at least through the coating chamber.
    Type: Application
    Filed: August 5, 2008
    Publication date: November 10, 2011
    Applicant: NOVOGENIO, S.L.
    Inventors: Francisco Villuendas Yuste, Rafael Alonso Esteban, Inigo Salinas Ariz, Gerardo Hidalgo Llinas
  • Patent number: 8053324
    Abstract: In one aspect provides a method of manufacturing a semiconductor device having improved transistor performance. In one aspect, this improvement is achieved by conducting a pre-deposition spacer deposition process wherein a temperature of a bottom region of a furnace is higher than a temperature of in the top region and is maintained for a predetermined period. The pre-deposition temperature is changed to a deposition temperature, wherein a temperature of the bottom region is lower than a temperature of the top region.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: November 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Bradley D. Sucher, Christopher S. Whitesell, Joshua J. Hubregsen, James H. Beatty
  • Publication number: 20110265720
    Abstract: A reactor is provided for a gas deposition method, in which method the surface of a substrate is subjected to alternate starting material surface reactions. The reactor includes a first chamber, a second chamber mounted inside the first chamber, and heating means for heating the first chamber. The reactor also includes one or more heat transfer elements for equalising temperature differences inside the first chamber.
    Type: Application
    Filed: February 11, 2010
    Publication date: November 3, 2011
    Applicant: BENEQ OY
    Inventors: Jarmo Maula, Hannu Leskinen, Kari Harkonen
  • Publication number: 20110266944
    Abstract: Each of thin film deposition assemblies of a thin film deposition apparatus includes: a deposition source that includes a deposition material; a deposition source nozzle unit arranged at a side of the deposition source and including a plurality of deposition source nozzles arranged in a first direction; a patterning slit sheet arranged opposite to the deposition source nozzle unit and having a plurality of patterning slits arranged in the first direction; and a barrier plate assembly including a plurality of barrier plates arranged in the first direction, the barrier plate assembly being arranged between the deposition source nozzle unit and the patterning slit sheet. The thin film deposition apparatus and the substrate are separated from each other and are movable relative to each other. The deposition material includes a material to produce the thin film of a red (R), green (G) or blue (B) emission layer, or an auxiliary layer.
    Type: Application
    Filed: April 25, 2011
    Publication date: November 3, 2011
    Inventors: Jung-Bae Song, Beohm-Rock Choi, Sang-Pil Lee, Young-Rok Song
  • Publication number: 20110266139
    Abstract: The present invention provides a film forming apparatus that forms a film on a substrate (s) 1 being conveyed continuously in a vacuum chamber 7 under supply of a gas, wherein two film forming regions 14a and 14b can be readily supplied with a uniformly flowing gas simultaneously, and the film can be formed efficiently with high uniformity in film quality.
    Type: Application
    Filed: June 2, 2011
    Publication date: November 3, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventor: Yasuharu Matsumura
  • Publication number: 20110265951
    Abstract: Methods and apparatus for twin chamber processing systems are disclosed, and, in some embodiments, may include a first process chamber and a second process chamber having independent processing volumes and a plurality of shared resources between the first and second process chambers. In some embodiments, the shared resources include at least one of a shared vacuum pump, a shared gas panel, or a shared heat transfer source.
    Type: Application
    Filed: October 20, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MING XU, ANDREW NGUYEN, EVANS LEE, JARED AHMAD LEE, JAMES P. CRUSE, CORIE LYNN COBB, MARTIN JEFF SALINAS, ANCHEL SHEYNER, EZRA ROBERT GOLD, JOHN W. LANE
  • Patent number: 8048259
    Abstract: A plasma processing apparatus which contributes to reducing required time for maintenance and thereby to enhancing the efficiency of processing and that of apparatus operation is to be provided. A vacuum processing apparatus comprises a vacuum vessel in which a substrate-shaped sample is arranged in an internally arranged processing chamber in which the pressure is reduced; a transfer chamber to which the vacuum vessel is linked and through whose inside reduced in pressure the sample is transferred; a passage which establishes communication between the transfer chamber and the vacuum vessel in a state in which the transfer chamber and the processing chamber are linked to each other and through whose inside the sample not yet processed or already processed is transferred; and a covering member which is removably coupled to cover the internal wall face of the passage, wherein the sample is processed within the processing chamber with a plasma formed in the processing chamber.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: November 1, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Michiaki Kobayashi, Tsutomu Nakamura, Takeo Uchino, Akitaka Makino, Masashi Nakagome
  • Publication number: 20110263098
    Abstract: Hybrid MOCVD or HVPE epitaxial system for in-situ epitaxially growth of group III-nitride layers and group IV semiconductor layers and/or group IV compounds. A hybrid deposition chamber is coupled to each of a first and second precursor delivery system to grow both a transition film comprising either group IV semiconductor or group IV compound and a film comprising a group III-nitride on the transition film. In one embodiment, the first precursor delivery system is coupled to both a silicon precursor and a second group IV precursor while the second precursor delivery system is coupled to a metalorganic precursor. In embodiments, a layer comprising a silicon semiconductor is deposited over a substrate and a group III-nitride epitaxial film is then deposited in-situ over the substrate.
    Type: Application
    Filed: March 10, 2011
    Publication date: October 27, 2011
    Applicant: Applied Materials, Inc.
    Inventor: Jie SU
  • Publication number: 20110262628
    Abstract: Disclosed are an inline chemical vapor deposition method and system for fabricating a device. The method includes transporting a web or discrete substrate through a deposition chamber having a plurality of deposition modules. A buffer layer, a window layer and a transparent conductive layer are deposited onto the substrate during passage through a first deposition module, a second deposition module and a third deposition module, respectively. Advantageously, the steps for generating the buffer layer, window layer and transparent conductive layer are performed sequentially in a common vacuum environment of a single deposition chamber and the use of a conventional chemical bath deposition process to deposit the buffer layer is eliminated. The method is suitable for the manufacture of different types of devices including various types of solar cells such as copper indium gallium diselenide solar cells.
    Type: Application
    Filed: June 9, 2011
    Publication date: October 27, 2011
    Applicant: AVENTA TECHNOLOGIES LLC
    Inventors: Piero Sferlazzo, Thomas Michael Lampros
  • Publication number: 20110260210
    Abstract: Monolithically integrated GaN LEDs with silicon-based ESD protection diodes. Hybrid MOCVD or HVPE epitaxial systems may be utilized for in-situ epitaxially growth of doped silicon containing films to form both the silicon-based ESD protection diode material stacks as well as a silicon containing transition layer prior to growth of a GaN-based LED material stack. The silicon-based ESD protection diodes may be interconnected with layers of a GaN LED material stack to form Zener diodes connected with the GaN LEDs.
    Type: Application
    Filed: March 10, 2011
    Publication date: October 27, 2011
    Applicant: Applied Materials, Inc.
    Inventor: Jie SU
  • Patent number: 8043432
    Abstract: Systems and methods for depositing thin films using Atomic Layer Deposition (ALD). The deposition system includes a process chamber with a peripheral sidewall, partitions that divide a processing space inside the process chamber into at least first and second compartments, and a platter that supports substrates within the processing space. The platter rotates the substrates relative to the stationary peripheral sidewall and compartments. The first compartment receives a process material used to deposit a layer on each of the substrates. An injector, which injects the process material, communicates with the first compartment through the peripheral sidewall.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: October 25, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Anthony Dip
  • Publication number: 20110254078
    Abstract: Provided is a method for depositing a silicon nitride film in a plasma CVD device which introduces microwaves into a process chamber by a planar antenna having a plurality of apertures, and the method including setting the pressure in the process chamber within a range from 10 Pa to 133.3 Pa and performing plasma CVD by using film formation gas including a silicon containing compound gas and a nitrogen gas while applying an RF bias to the wafer by supplying high-frequency power with an output density within a range from 0.009 W/cm2 to 0.64 W/cm2 per unit area of a wafer from a high frequency power supply to an electrode in a holding stage on which the wafer is arranged.
    Type: Application
    Filed: June 20, 2011
    Publication date: October 20, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Minoru HONDA, Masayuki KOHNO
  • Publication number: 20110256323
    Abstract: Systems and methods for ALD thin film deposition include a mechanism for removing excess non-chemisorbed precursors from the surface of a substrate in a translation-based process involving multiple separate precursor zones. Excess precursor removal mechanisms according to the present disclosure may introduce localized high temperature conditions, high energy conditions, or azeotropes of the excess precursor, to liberate the excess precursor before it reaches a separate precursor zone, thereby inhibiting CVD deposition from occurring without causing heat-induced degradation of the substrate.
    Type: Application
    Filed: October 14, 2010
    Publication date: October 20, 2011
    Applicant: Lotus Applied Technology, LLC
    Inventors: Eric R. Dickey, William A. Barrow
  • Patent number: 8038797
    Abstract: A method and apparatus for manufacturing a magnetic recording medium uses a small-diameter insulating substrate. The apparatus includes a carrier for a special size of 3.5 inches for receiving a plurality of small-diameter insulating substrates. Seed layers are deposited on the substrates, then each substrate is rotated to shift the position of the substrate so that the movable claw contacts the deposited seed layer. A bias voltage is applied via the movable claw for subsequent deposition layers.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: October 18, 2011
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Takahiro Shimizu, Akihide Hara
  • Patent number: 8039966
    Abstract: A structure, tool and method for forming in-situ metallic/dielectric caps for interconnects. The method includes forming wire embedded in a dielectric layer on a semiconductor substrate, the wire comprising a copper core and an electrically conductive liner on sidewalls and a bottom of the copper core, a top surface of the wire coplanar with a top surface of the dielectric layer; forming a metal cap on an entire top surface of the copper core; without exposing the substrate to oxygen, forming a dielectric cap over the metal cap, any exposed portions of the liner, and the dielectric layer; and wherein the dielectric cap is an oxygen diffusion barrier and contains no oxygen atoms.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: October 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Chao-Kun Hu
  • Patent number: 8039049
    Abstract: A method and system for treating a dielectric film in a batch processing system includes exposing at least one surface of the dielectric film to a treating compound including a CxHy containing compound, where x and y represent integers greater than or equal to unity. The plurality of wafers are heated when the treating compound is introduced. The dielectric film can include a low dielectric constant film with or without pores having an etch feature formed therein following dry etch processing.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: October 18, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Dorel I. Toma
  • Patent number: 8034723
    Abstract: A film deposition apparatus for depositing a film on a substrate by performing a cycle of alternately supplying at least two kinds of reaction gases that react with each other on the substrate to produce a layer of a reaction product in a vacuum chamber is disclosed. The film deposition apparatus includes a ring-shaped locking member that may be provided in or around a wafer receiving portion of a turntable in which the substrate is placed, in order to keep the substrate in the substrate receiving portion.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yukio Ohizumi, Manabu Honma