Gas Or Vapor Deposition Patents (Class 118/715)
  • Patent number: 11926894
    Abstract: Herein disclosed are systems and methods related to solid source chemical vaporizer vessels and multiple chamber deposition modules. In some embodiments, a solid source chemical vaporizer includes a housing base and a housing lid. Some embodiments also include a first and second tray configured to be housed within the housing base, wherein each tray defines a first serpentine path adapted to hold solid source chemical and allow gas flow thereover. In some embodiments, a multiple chamber deposition module includes first and second vapor phase reaction chambers and a solid source chemical vaporizer vessel to supply each of the first and second vapor phase reaction chambers.
    Type: Grant
    Filed: May 3, 2017
    Date of Patent: March 12, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Mohith Verghese, Eric James Shero, Carl Louis White, Kyle Fondurulia, Herbert Terhorst
  • Patent number: 11908679
    Abstract: Embodiments described herein relate to oxygen cleaning chambers and a method of atomic oxygen cleaning a substrate. The oxygen cleaning chambers and method of atomic oxygen cleaning a substrate provide for generation of atomic oxygen in situ to oxidize materials on the surfaces of the substrate. The atomic oxygen cleaning chamber includes a chamber body, a chamber lid, a processing volume defined by the chamber body and the chamber lid, an UV radiation generator including one or more UV radiation sources, a pedestal disposed in the processing volume, and a gas distribution assembly. The pedestal has a processing position corresponding to a distance from the UV radiation generator to an upper surface of the pedestal. The gas distribution assembly is configured to be connected to an ozone generator to distribute ozone over the upper surface of the pedestal.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Eli Dagan
  • Patent number: 11901162
    Abstract: A vacuum processing apparatus of the present invention is a vacuum processing apparatus which performs plasma processing. The vacuum processing apparatus includes an electrode flange, a shower plate, an insulating shield, a processing chamber in which a processing-target substrate is to be disposed, an electrode frame, and a slide plate. The electrode frame and the slide plate are slidable in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered. The shower plate is supported by the electrode frame using a support member penetrating through an elongated hole. The elongated hole is formed so that the support member is relatively movable in the elongated hole in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: February 13, 2024
    Assignee: ULVAC, INC.
    Inventors: Takehisa Miyaya, Yosuke Jimbo, Yoshiaki Yamamoto, Kenji Eto, Yoichi Abe
  • Patent number: 11894257
    Abstract: Apparatus and methods to process one or more wafers are described. A plurality of process stations are arranged in a circular configuration around a rotational axis. A support assembly with a rotatable center base defining a rotational axis, at least two support arms extending from the center base and heaters on each of the support arms is positioned adjacent the processing stations so that the heaters can be moved amongst the various process stations to perform one or more process condition.
    Type: Grant
    Filed: October 26, 2018
    Date of Patent: February 6, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Michael Rice, Joseph AuBuchon, Sanjeev Baluja, Mandyam Sriram
  • Patent number: 11885024
    Abstract: A gas introduction structure extends in a longitudinal direction of a processing container having a substantially cylindrical shape to supply gas into the processing container. The gas introduction structure includes an introduction section that partitions an introduction chamber, an ejection section that partitions a plurality of ejection chambers each including a plurality of gas holes through which the gas is ejected into the processing container, and a branch section that partitions a branch chamber connected to the introduction chamber. The branch chamber is branched to correspond to the number of ejection chambers in a tournament manner and connected to the ejection chambers.
    Type: Grant
    Filed: September 13, 2021
    Date of Patent: January 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroki Iriuda, Reita Igarashi, Kuniyasu Sakashita
  • Patent number: 11885013
    Abstract: Methods and systems for depositing vanadium nitride layers onto a surface of the substrate and structures and devices formed using the methods are disclosed. An exemplary method includes using a cyclical deposition process, depositing a vanadium nitride layer onto a surface of the substrate. The cyclical deposition process can include providing a vanadium halide precursor to the reaction chamber and separately providing a nitrogen reactant to the reaction chamber. The cyclical deposition process may desirably be a thermal cyclical deposition process.
    Type: Grant
    Filed: December 7, 2020
    Date of Patent: January 30, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Giuseppe Alessio Verni, Qi Xie, Henri Jussila, Charles Dezelah, Jiyeon Kim, Eric James Shero, Paul Ma
  • Patent number: 11881375
    Abstract: Embodiments of a lift apparatus for use in a substrate processing chamber are provided herein. In some embodiments, a lift apparatus includes: a plurality of first lift pin assemblies configured to raise or lower a substrate having a given diameter when disposed thereon, wherein each of the first lift pin assemblies includes a first lift pin disposed on a first bellows assembly; a plurality of second lift pin assemblies arranged in a circle having a diameter greater than the given diameter and configured to raise or lower an annular chamber component, wherein each of the second lift pin assemblies includes a second lift pin disposed on a second bellows assembly; an actuator; and a lift assembly coupled to the actuator and configured to raise or lower each of the first lift pin assemblies and the second lift pin assemblies by movement of the actuator.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: January 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Abhishek Chowdhury, Nataraj Bhaskar Rao, Siqing Lu, Ravikumar Patil
  • Patent number: 11862475
    Abstract: A semiconductor processing system includes a remote plasma source (RPS), a faceplate, and an output manifold positioned between the RPS and the faceplate. The output manifold is characterized by a plurality of purge outlets that are fluidly coupled with a purge gas source and a plurality of deposition outlets that are fluidly coupled with a deposition gas source. A delivery tube extends between and fluidly couples the RPS and the faceplate. The delivery tube is characterized by a generally cylindrical sidewall that defines an upper plurality of apertures that are arranged in a radial pattern. Each of the upper apertures is fluidly coupled with one of the purge outlets. The generally cylindrical sidewall defines a lower plurality of apertures that are arranged in a radial pattern and below the upper plurality of apertures. Each of the lower apertures is fluidly coupled with one of the deposition outlets.
    Type: Grant
    Filed: October 15, 2020
    Date of Patent: January 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Fang Ruan, Diwakar Kedlaya, Amit Bansal, Venkata Sharat Chandra Parimi, Rajaram Narayanan, Badri N. Ramamurthi, Sherry L. Mings, Job George Konnoth Joseph, Rupankar Choudhury
  • Patent number: 11851759
    Abstract: A faceplate for a substrate process chamber comprises a first and second surface. The second surface is shaped such that the second surface includes a peak and a distance between the first and second surface varies across the width of the faceplate. The second surface of the faceplate is exposed to a processing volume of the process chamber. Further, the faceplate may be part of a lid assembly for the process chamber. The lid assembly may include a blocker plate facing the first surface of the faceplate. A distance between the blocker plate and the first surface is constant.
    Type: Grant
    Filed: December 16, 2022
    Date of Patent: December 26, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shailendra Srivastava, Sai Susmita Addepalli, Nikhil Sudhindrarao Jorapur, Daemian Raj Benjamin Raj, Amit Kumar Bansal, Juan Carlos Rocha-Alvarez, Gregory Eugene Chichkanoff, Xinhai Han, Masaki Ogata, Kristopher Enslow, Wenjiao Wang
  • Patent number: 11842903
    Abstract: An apparatus for treating a substrate is provided. The apparatus for treating the substrate includes a high pressure chamber to provide a treatment space to perform a process of treating the substrate using a process fluid, a fluid supply source to provide the process fluid to the high pressure chamber, a fluid supply unit to supply the process fluid to the treatment space of the high pressure chamber, an exhaust unit to exhaust the process fluid in the high pressure chamber, and a pre-vent unit to vent a process fluid remaining inside a supply line.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: December 12, 2023
    Assignee: Semes Co., Ltd.
    Inventors: Miso Park, Yong Hee Lee
  • Patent number: 11842883
    Abstract: A chemical vapor deposition apparatus includes a chamber, a susceptor supporting a substrate, a backing plate to which power is applied, a diffuser providing a deposition gas, and a first insulator. The first insulator may include a first portion covering a top surface of the backing plate, and a second portion assembled with the first portion and covering a sidewall of the backing plate.
    Type: Grant
    Filed: April 11, 2022
    Date of Patent: December 12, 2023
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-hoon Park, Sukwon Jung, Hyunwoo Joo, Jaihyuk Choi, Kyungjoo Min, Wonwoong Park
  • Patent number: 11823876
    Abstract: A substrate processing apparatus capable of processing a thin film to have improved quality through uniform exhaustion includes: a substrate supporting unit; a processing unit on the substrate supporting unit; an exhaust unit connected to a reaction space between the substrate supporting unit and the processing unit; an exhaust port connected to at least a portion of the exhaust unit; and a flow control unit disposed in an exhaust channel from a space inside the exhaust unit to the exhaust port.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: November 21, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: ChangMin Lee, WonKi Jeong
  • Patent number: 11821089
    Abstract: A control system for a plasma treatment apparatus includes a wafer treatment device. The wafer treatment device includes a vapor chamber and an upper electrode assembly. The upper electrode assembly includes a gas distribution plate having a plurality of holes. The upper electrode assembly includes an upper electrode having at least one gas nozzle and at least one controllable valve connected to the at least one gas nozzle for controlling a flow of gas from a gas supply to the holes via the at least one gas nozzle. The at least one gas nozzle is separated from the gate distribution plate by a gap. The control system includes a measurement device configured to measure a thickness profile of a wafer. The control system includes a controller configured to generate a control signal. The at least one controllable valve is configured to be adjusted based on the control signal.
    Type: Grant
    Filed: September 28, 2020
    Date of Patent: November 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Shuo Su, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 11807938
    Abstract: An exhaust device includes: a first pressure regulator provided in an exhaust pipe connected to a processing container; a second pressure regulator provided on a downstream side of the first pressure regulator; a first vacuum gauge provided on an upstream side of the first pressure regulator; and a second vacuum gauge provided between the first pressure regulator and the second pressure regulator.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: November 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yutaka Motoyama, Rui Kanemura
  • Patent number: 11804366
    Abstract: A plasma processing apparatus includes a baffle structure between a mounting table and a processing chamber. The baffle structure has a first member and a second member. The first member has a first cylindrical part extending between the mounting table and the processing chamber, and a plurality of through-holes elongated in the vertical direction is formed in an array in the circumferential direction in the first cylindrical part. The second member has a second cylindrical part having an inner diameter greater than the outer diameter of the cylindrical part for the first member. The second member moves up and down in a region that includes the space between the first member and the processing chamber.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: October 31, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuki Hosaka, Yoshihiro Umezawa, Toshiki Nakajima
  • Patent number: 11802350
    Abstract: The present invention relates to: layered gallium arsenide (GaAs), which is more particularly layered GaAs, which, unlike the conventional bulk GaAs, has a two-dimensional crystal structure, has the ability to be easily exfoliated into nanosheets, and exhibits excellent electrical properties by having a structure that enables easy charge transport in the in-plane direction; a method of preparing the same; and a GaAs nanosheet exfoliated from the same.
    Type: Grant
    Filed: January 11, 2021
    Date of Patent: October 31, 2023
    Assignee: INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY
    Inventors: Woo Young Shim, Sang jin Choi, Hyesoo Kim
  • Patent number: 11791136
    Abstract: In one embodiment, at least a processing chamber includes a perforated lid, a gas blocker disposed on the perforated lid, and a substrate support disposed below the perforated lid. The gas blocker includes a gas manifold, a central gas channel formed in the gas manifold, a first gas distribution plate comprising an inner and outer trenches surrounding the central gas channel, a first and second gas channels formed in the gas manifold, the first gas channel is in fluid communication with a first gas source and the inner trench, and the second gas channel is in fluid communication with the first gas source and the outer trench, a second gas distribution plate, a third gas distribution plate disposed below the second gas distribution plate, and a plurality of pass-through channels disposed between the second gas distribution plate and the third gas distribution plate.
    Type: Grant
    Filed: April 26, 2021
    Date of Patent: October 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Sanjeev Baluja, Yi Yang, Truong Nguyen, Nattaworn Boss Nunta, Joseph F. Aubuchon, Tuan Anh Nguyen, Karthik Janakiraman
  • Patent number: 11784076
    Abstract: A substrate processing system is disclosed which includes a processing chamber comprising a susceptor having a first surface and a second surface opposite to the first surface, a groove formed in the first surface adjacent to a perimeter thereof, and a substrate support structure including a plurality of carrier lift pins, each of the plurality of carrier lift pins movably disposed in an opening formed from the second surface to the first surface, wherein the opening is recessed from the groove.
    Type: Grant
    Filed: July 15, 2022
    Date of Patent: October 10, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Masato Ishii, Richard O. Collins, Richard Giljum, Alexander Berger
  • Patent number: 11768099
    Abstract: A calibration method for a liquid flowmeter comprising: providing a first tank (12) for receiving said liquid to be measured and a liquid storage tank (14) connected to said first tank (12); providing a liquid delivering line (9) for conveying the liquid from said liquid storage tank (14) to an external device; providing a weighing sensor (22) for weighing the liquid contained in either the first tank (12) or the liquid storage tank (14), wherein during performing the calibration for the flowmeter (20): disconnecting the liquid delivering line (9) in a conveyance direction downstream of the liquid flowmeter (20) from the external device; connecting said liquid delivering line (9) in the conveyance direction downstream of said liquid flowmeter (20) to a calibrating line (7) connected to said first tank (12); and determining a flow rate of the conveyed liquid within a predetermined time interval based on the change in the liquid weight measured by said weighing sensor (22) in said predetermined time interval, w
    Type: Grant
    Filed: February 4, 2020
    Date of Patent: September 26, 2023
    Assignee: PVTE COMPANY LIMITED
    Inventors: Tanunt Leelayoova, Uracha Poopakdee
  • Patent number: 11769898
    Abstract: A device for manufacturing an electrode assembly for removing foreign particles through air is provided. The device includes a winding portion; an electrode transfer line; an air blower installed on a top portion of the device and blowing air to a bottom portion of the device; and an outlet for discharging air moved to the bottom portion by the air blower.
    Type: Grant
    Filed: July 9, 2019
    Date of Patent: September 26, 2023
    Assignee: LG ENERGY SOLUTION, LTD.
    Inventor: Sung Hwan Yang
  • Patent number: 11755437
    Abstract: The gas supply system of this invention is furnished with a cylinder apparatus having a pneumatic valve that supplies process gas to a process chamber, and a solenoid valve that opens or closes said pneumatic valve by supplying or stopping the flow of valve actuating gas to said pneumatic valve; and a gas supply control apparatus that controls the actuation of the solenoid valve. In addition, said gas supply control apparatus comprises a main controller that controls the actuation of said solenoid valve during normal operation, and a sub-controller that senses an abnormal state of said main controller and if an abnormality is sensed, controls the actuation of said solenoid valve instead of said main controller.
    Type: Grant
    Filed: June 15, 2018
    Date of Patent: September 12, 2023
    Assignee: Versum Materials US, LLC
    Inventors: Tae-Jun Lim, Jihoon Kim, Tae-Ug Kang
  • Patent number: 11746410
    Abstract: A combustion-supporting gas line, a flammable gas line, and an inert gas line are connected to a chamber performing a heat treatment on a semiconductor wafer. Nitrogen is sent from the inert gas line to the combustion-supporting gas line before supplying flammable gas into the chamber to replace gas in the combustion-supporting gas line with nitrogen. Nitrogen is sent from the inert gas line to the flammable gas line before supplying combustion-supporting gas into the chamber to replace gas in the flammable gas line with nitrogen. Common one inert gas line is provided in the combustion-supporting gas line and the flammable gas line, thus a space for arranging components relating to gas supply can be reduced.
    Type: Grant
    Filed: November 2, 2021
    Date of Patent: September 5, 2023
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Yasuaki Kondo, Mao Omori
  • Patent number: 11751468
    Abstract: Methods and devices for controlling pressures in microenvironments between a deposition apparatus and a substrate are provided. Each microenvironment is associated with an aperture of the deposition apparatus which can allow for control of the microenvironment.
    Type: Grant
    Filed: July 20, 2021
    Date of Patent: September 5, 2023
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Siddharth Harikrishna Mohan, Gregory McGraw, Xin Xu
  • Patent number: 11731145
    Abstract: The invention discloses a showerhead assembly including a male board with a top surface and a bottom surface and having an injector extending from the bottom surface to inject a first gas; and a female board with a top surface and a bottom surface and having a cavity formed on the top surface. The cavity is communicatively coupled to a gas outlet through which a second gas is guided toward to the outlet. The cavity is configured to receive the first gas from the male board such that the first gas and the second gas mix and then is exhausted via the gas outlet.
    Type: Grant
    Filed: May 13, 2020
    Date of Patent: August 22, 2023
    Assignee: PIOTECH INC.
    Inventors: Gregory Siu, Junichi Arami
  • Patent number: 11728204
    Abstract: A vacuum chuck is provided, comprising: a vacuum buffer in fluid communication with a vacuum source, the vacuum buffer being an enclosed volume in the vacuum chuck; a top plate, defining surface features on a first side, and an internal network of distribution channels open to the first side via through holes; and a flow valve configured to control fluid communication between the network of distribution channels and the vacuum buffer. By opening the flow valve, negative pressure is applied from between a substrate disposed on the first side of the top plate through the through holes into the vacuum buffer, thereby flattening the substrate against at least part of the first side of the top plate.
    Type: Grant
    Filed: February 9, 2021
    Date of Patent: August 15, 2023
    Assignee: KLA Corporation
    Inventors: Paul Verstreken, Lai Sze Leong
  • Patent number: 11728139
    Abstract: A method and apparatus for substrate etching are described herein. A processing chamber described herein includes a source module, a process module, a flow module, and an exhaust module. An RF source may be coupled to the chamber and a remote plasma may be generated in the source module and a direct plasma may be generated in the process module. Cyclic etching processes described may use alternating radical and direct plasmas to etch a substrate.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: August 15, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Toan Q. Tran, Soonam Park, Junghoon Kim, Dmitry Lubomirsky
  • Patent number: 11728159
    Abstract: There is provided a technique that includes: (a) forming a film on a substrate in a process container by performing a cycle a predetermined number of times, the cycle including: supplying an oxygen-containing gas from a pipe made of metal to the substrate in the process container; supplying a nitrogen-and-hydrogen-containing gas from the pipe to the substrate in the process container; and (b) forming a layer on an inner surface of the pipe by supplying a surface treatment gas into the pipe such that the surface treatment gas chemically reacts with the inner surface of the pipe.
    Type: Grant
    Filed: January 13, 2022
    Date of Patent: August 15, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kazuhiro Harada, Masayoshi Minami, Akihito Yoshino, Masaya Nishida, Naoko Kitagawa, Shintaro Kogura, Shogo Otani
  • Patent number: 11725269
    Abstract: A deposition apparatus (20) comprising: a chamber (22); a process gas source (62) coupled to the chamber; a vacuum pump (52) coupled to the chamber; at least two electron guns (26); one or more power supplies (30) coupled to the electron guns; a plurality of crucibles (32,33,34) positioned or positionable in an operative position within a field of view of at least one said electron gun; and a part holder (170) having at least one operative position for holding parts spaced above the crucibles by a standoff height H. The standoff height H is adjustable in a range including at least 22 inches.
    Type: Grant
    Filed: June 20, 2022
    Date of Patent: August 15, 2023
    Assignee: Raytheon Technologies Corporation
    Inventors: Brian T. Hazel, Michael J. Maloney, James W. Neal, David A. Litton
  • Patent number: 11721573
    Abstract: A method for detecting positions of wafers includes: rotating a rotation table with a wafer thereon in a first direction at a first speed; detecting a contour of the wafer rotating in the first direction at the first speed to provide contour data; rotating the rotation table in a second direction at a second speed when an aiming feature of the wafer passes the detector in the first direction at the first speed; detecting the contour of the wafer rotating in the second direction at the second speed to provide new contour data; and stopping the rotation of the rotation table and the detection of the wafer according to an accumulation of contour data and corresponding rotation angles, to estimate an eccentric position of the wafer and a position of the aiming feature when the aiming feature passes the detector in the second direction at the second speed.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: August 8, 2023
    Assignee: Hiwin Technologies Corp.
    Inventors: Yan-Yu Chen, Ming-Shiou Liu
  • Patent number: 11719105
    Abstract: A method of coating a component including aluminizing an array of internal passageways within the component; and chromizing a portion of the array of internal passageways within the component. A component, including an airfoil having an array of aluminized internal passageways, the array of aluminized internal passageways chromized up to a demarcation.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: August 8, 2023
    Assignee: Raytheon Technologies Corporation
    Inventors: Michael N. Task, Xuan Liu, Russell A. Beers, Kevin W. Schlichting
  • Patent number: 11713508
    Abstract: Processing chambers and methods to disrupt the boundary layer are described. The processing chamber includes a showerhead and a substrate support therein. The showerhead and the substrate support are spaced to have a process gap between them. In use, a boundary layer is formed adjacent to the substrate support or wafer surface. As the reaction occurs at the wafer surface, reaction products and byproduct are produced, resulting in reduced chemical utilization rate. The processing chamber and methods described disrupt the boundary layer by changing one or more process parameters (e.g., pressure, flow rate, time, process gap or temperature of fluid passing through the showerhead).
    Type: Grant
    Filed: June 21, 2022
    Date of Patent: August 1, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Griffin, Sanjeev Baluja, Joseph AuBuchon, Mario D. Silvetti, Hari Ponnekanti
  • Patent number: 11715649
    Abstract: A processing fluid flows into a processing space SP by way of a flow passage and discharge openings 174, 178 having substantially the same cross-sectional shape as that of a gap space formed in a clearance between a wall surface of the processing space SP and a substrate holder 15. On the other hand, the processing fluid having passed through the processing space SP is discharged to an outside via discharge flow passages 183, 187 after flowing into the buffer space 182, 186 having substantially the same width as the gap space. From these, the processing fluid can be caused to flow into the buffer space 182, 186 while the laminar flow state is maintained in the gap space. Thus, the generation of a turbulence in the processing space SP can be suppressed.
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: August 1, 2023
    Inventors: Noritake Sumi, Masayuki Orisaka
  • Patent number: 11713509
    Abstract: A flow guide apparatus includes a columnar flow guide portion, a plurality of connection portions and a loop portion. The columnar flow guide portion includes a first surface and a second surface that are perpendicular to a thickness direction thereof, and a blind hole formed in the second surface. A center line of the columnar flow guide portion is parallel to the thickness direction thereof. The plurality of connection portions are arranged at intervals and are at least connected with an edge of the second surface of the columnar flow guide portion. The loop portion is connected with the plurality of connection portions, and is farther away from the columnar flow guide portion than the plurality of connection portions.
    Type: Grant
    Filed: April 22, 2021
    Date of Patent: August 1, 2023
    Assignees: CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Qiang Zhang, Beumku Park, Yuanjiang Yang, Tianming Li, Qingke He, Jie Li, Ya Zhu, Yu Wang, Fujun Yuan, Binglei Cao, Xinxin Huang
  • Patent number: 11692261
    Abstract: One or more embodiments described herein generally relate to methods and systems for forming films on substrates in semiconductor processes. In embodiments described herein, process chamber is provided that includes a lid plate having a plurality of cooling channels formed therein, a pedestal, the pedestal having a plurality of cooling channels formed therein, and a showerhead, wherein the showerhead comprises a plurality of segments and each segment is at least partially surrounded by a shield.
    Type: Grant
    Filed: July 8, 2020
    Date of Patent: July 4, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander N. Lerner, Roey Shaviv, Satish Radhakrishnan
  • Patent number: 11685993
    Abstract: There is provided a technique that includes a first annealing step of annealing the reaction tube; a first cleaning step of cleaning an inner surface of the reaction tube, after the first annealing step, with a liquid including a fluorine compound having a first concentration; a first rinsing step of washing away the fluorine compound used in the first cleaning step with pure water; a second annealing step of annealing the reaction tube; a second cleaning step of cleaning the inner surface of the reaction tube, after the second annealing step, with a liquid including a fluorine compound having a second concentration higher than the first concentration; and a second rinsing step of washing away the fluorine compound used in the second cleaning step with pure water.
    Type: Grant
    Filed: January 22, 2021
    Date of Patent: June 27, 2023
    Assignees: KOKUSAI ELECTRIC CORPORATION, SHIN-ETSU QUARTZ PRODUCTS CO., LTD.
    Inventors: Shinya Morita, Toru Nakada, Hiroyuki Kimura, Toru Segawa
  • Patent number: 11674221
    Abstract: Disclosed are barrier coatings for fused silica components used in semiconductor processing. In particular, the present disclosure concerns protective substrate-barrier coatings composed of corrosion-resilient metal compounds which provide superior resistance to erosion/corrosion when a coated substrate is subjected to the acidic environments at elevated temperatures typical for semiconductor processing.
    Type: Grant
    Filed: December 22, 2017
    Date of Patent: June 13, 2023
    Assignees: CONAX TECHNOLOGIES, Alfred University
    Inventors: Matthew M. Hall, Timothy Keenan, Lana Margaret Placek, Scott Michael Kroon, William Sean Halligan, Michael Edward Ferraro
  • Patent number: 11634813
    Abstract: Embodiments of the present disclosure provide apparatuses for improving gas distribution during thermal processing. In one or more embodiments, an apparatus includes a body, an angled gas source assembly, and a gas injection channel. The gas injection channel has a first half-angle and a second half-angle. The first half-angle is different from the second half-angle. The use of an improved side gas assembly in a processing chamber to direct gas from the center toward the edge of the substrate advantageously controls growth uniformity throughout the substrate. Surprisingly, directing gas through a gas channel with non-uniform half-angles will significantly increase the reaction at or near the edge of the substrate, thereby leading to an improved overall thickness uniformity of the substrate.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: April 25, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Eric Kihara Shono
  • Patent number: 11626313
    Abstract: The present disclosure relates to a semiconductor processing apparatus having a reaction chamber which can include a baseplate having an opening; a moveable substrate support configured to support a substrate; a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate; a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support; and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support. In some embodiments, the sealing element can also include a plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.
    Type: Grant
    Filed: December 18, 2020
    Date of Patent: April 11, 2023
    Assignee: ASM IP HOLDING B.V.
    Inventors: Carl Louis White, Kyle Fondurulia, John Kevin Shugrue
  • Patent number: 11619925
    Abstract: Various embodiments include apparatuses, systems, and methods for using a remote-plasma cleaning system with a directional-flow device for concurrently cleaning multiple processing stations in a processing tool used in the semiconductor and allied fields. In one example, an apparatus used to perform a remote-plasma clean (RPC) in a multi-station process chamber is disclosed and includes an RPC directional-flow device that is to be coupled between an RPC reactor and the process chamber. The RPC directional-flow device includes a number of ramped gas-diversion areas to direct at least a radical species generated by the RPC reactor to a separate one of the processing stations. An incoming cleaning-gas diversion hub is to receive the radical species and distribute at least the species substantially-uniformly to each of the of the ramped gas-diversion areas. Other apparatuses, systems, and methods are disclosed.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: April 4, 2023
    Assignee: Lam Research Corporation
    Inventors: Michael J. Janicki, James Forest Lee
  • Patent number: 11615944
    Abstract: Embodiments of the present disclosure generally relate to a process chamber for conformal oxidation of high aspect ratio structures. The process chamber includes a liner assembly located in a first side of a chamber body and two pumping ports located in a substrate support portion adjacent a second side of the chamber body opposite the first side. The liner assembly includes a flow divider to direct fluid flow away from a center of a substrate disposed in a processing region of the process chamber. The liner assembly may be fabricated from quartz minimize interaction with process gases, such as radicals. The liner assembly is designed to reduce flow constriction of the radicals, leading to increased radical concentration and flux. The two pumping ports can be individually controlled to tune the flow of the radicals through the processing region of the process chamber.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: March 28, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Christopher S. Olsen, Eric Kihara Shono, Lara Hawrylchak, Agus Sofian Tjandra, Chaitanya A. Prasad, Sairaju Tallavarjula
  • Patent number: 11600512
    Abstract: A substrate processing apparatus includes: a disk including a plurality of electrostatic chucks periodically disposed at a constant radius from a central axis; a disk support supporting the disk; a DC line electrically connected to the plurality of electrostatic chucks through the disk support; and a power supply configured to supply power to the DC line. The DC line includes: a first DC line penetrating through the disk support from the power supply; a power distribution unit configured to distribute the first DC line to connect the first DC line to each of the plurality of electrostatic chucks; and a plurality of second DC lines respectively connected to the plurality of electrostatic chucks in the power distribution unit.
    Type: Grant
    Filed: August 26, 2022
    Date of Patent: March 7, 2023
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Ho Bin Yoon, Seung Chul Shin, Jin Hyuk Yoo
  • Patent number: 11594400
    Abstract: A plasma processing system includes a plasma chamber having a substrate support, and a multi-zone gas injection upper electrode disposed opposite the substrate support. An inner plasma region is defined between the upper electrode and the substrate support. The multi-zone gas injection upper electrode has a plurality of concentric gas injection zones. A confinement structure, which surrounds the inner plasma region, has an upper horizontal wall that interfaces with the outer electrode of the upper electrode. The confinement structure has a lower horizontal wall that interfaces with the substrate support, and includes a perforated confinement ring and a vertical wall that extends from the upper horizontal wall to the lower horizontal wall. The lower surface of the upper horizontal wall, an inner surface of the vertical wall, and an upper surface of the lower horizontal wall define a boundary of an outer plasma region, which surrounds the inner plasma region.
    Type: Grant
    Filed: April 10, 2020
    Date of Patent: February 28, 2023
    Assignee: Lam Research Corporation
    Inventors: Ryan Bise, Rajinder Dhindsa, Alexei Marakhtanov, Lumin Li, Sang Ki Nam, Jim Rogers, Eric Hudson, Gerardo Delgadino, Andrew D. Bailey, III, Mike Kellogg, Anthony de la Llera, Darrell Ehrlich
  • Patent number: 11594593
    Abstract: Various embodiments of the present application are directed towards a method for forming a metal-insulator-metal (MIM) capacitor comprising an enhanced interfacial layer to reduce breakdown failure. In some embodiments, a bottom electrode layer is deposited over a substrate. A native oxide layer is formed on a top surface of the bottom electrode layer and has a first adhesion strength with the top surface. A plasma treatment process is performed to replace the native oxide layer with an interfacial layer. The interfacial layer is conductive and has a second adhesion strength with the top surface of the bottom electrode layer, and the second adhesion strength is greater than the first adhesion strength. An insulator layer is deposited on the interfacial layer. A top electrode layer is deposited on the insulator layer. The top and bottom electrode layers, the insulator layer, and the interfacial layer are patterned to form a MIM capacitor.
    Type: Grant
    Filed: October 14, 2021
    Date of Patent: February 28, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsing-Lien Lin, Chii-Ming Wu, Chia-Shiung Tsai, Chung-Yi Yu, Rei-Lin Chu
  • Patent number: 11581169
    Abstract: An ion collector includes a plurality of segments and a plurality of integrators. The plurality of segments are physically separated from one another and spaced around a substrate support. Each of the segments includes a conductive element that is designed to conduct a current based on ions received from a plasma. Each of the plurality of integrators is coupled to a corresponding conductive element. Each of the plurality of integrators is designed to determine an ion distribution for a corresponding conductive element based, at least in part, on the current conducted at the corresponding conductive element. An example benefit of this embodiment includes the ability to determine how uniform the ion distribution is across a wafer being processed by the plasma.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: February 14, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Otto Chen, Chi-Ying Wu, Chia-Chih Chen
  • Patent number: 11578408
    Abstract: A gas processing apparatus includes: a mounting part; a gas supply part located above the mounting part and having a plurality of first gas supply holes; a gas supply path forming part configured to form a supply path of a processing gas, the gas supply path forming part including a flat opposing surface which faces the gas supply part from above and defines a first diffusion space for diffusing the processing gas in a lateral direction; a recess surrounding a central portion of the opposing surface; and a plurality of gas dispersion portions located in the recess surrounding the central portion of the opposing surface without protruding from the opposing surface, each of the plurality of gas dispersion portions having a plurality of gas discharge holes extending along a circumferential direction so as to laterally disperse the processing gas supplied from the supply path in the first diffusion space.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: February 14, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takashi Kamio, Yu Nunoshige
  • Patent number: 11562890
    Abstract: A substrate support assembly includes a ground shield and a heater that is surrounded by the ground shield. The ground shield includes a plate. In one embodiment, the ground shield is composed of a ceramic body and includes an electrically conductive layer, a first protective layer on the upper surface of the plate. In another embodiment, the ground shield is composed of an electrically conductive body and a first protective layer on the upper surface of the plate.
    Type: Grant
    Filed: December 6, 2018
    Date of Patent: January 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Xiao Ming He, Jennifer Y. Sun, Xiaowei Wu, Laksheswar Kalita, Soonam Park
  • Patent number: 11555244
    Abstract: Embodiments of showerheads are provided herein. In some embodiments, a showerhead for use in a process chamber includes a gas distribution plate having an upper surface and a lower surface; a plurality of channels extending through the gas distribution plate substantially perpendicular to the lower surface; a plurality of first gas delivery holes extending from the upper surface to the lower surface between adjacent channels of the plurality of channels to deliver a first process gas through the gas distribution plate; and a plurality of second gas delivery holes extending from the plurality of channels to the lower surface to deliver a second process gas therethrough without mixing with the first process gas.
    Type: Grant
    Filed: October 23, 2020
    Date of Patent: January 17, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pingyan Lei, Dien-Yeh Wu, Jallepally Ravi, Takashi Kuratomi, Xiaoxiong Yuan, Manjunatha Koppa, Vinod Konda Purathe
  • Patent number: 11548804
    Abstract: There is provided a method of processing an oxygen-containing workpiece. The method of processing an oxygen-containing workpiece includes controlling a fluorine concentration in the oxygen-containing workpiece based on at least one of a kind of a fluorine-containing processing gas, a processing temperature and a processing pressure used for processing the oxygen-containing workpiece.
    Type: Grant
    Filed: August 1, 2019
    Date of Patent: January 10, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Reiko Sasahara, Yasuo Nakatani, Keiko Hada
  • Patent number: 11542593
    Abstract: A system for depositing coating on a workpiece includes a deposition chamber within which is formed a vortex to at least partially surround a workpiece therein.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: January 3, 2023
    Assignee: Raytheon Technologies Corporation
    Inventors: James W. Neal, Brian T. Hazel, David A. Litton, Eric Jorzik
  • Patent number: 11534780
    Abstract: An atomizing spray nozzle device includes an atomizing zone housing that receives different phases of materials used to form a coating. The atomizing zone housing mixes the different phases of the materials into a two-phase mixture of ceramic-liquid droplets in a carrier gas. The device also includes a plenum housing fluidly coupled with the atomizing housing and extending from the atomizing housing to a delivery end. The plenum housing includes an interior plenum that receives the two-phase mixture of ceramic-liquid droplets in the carrier gas from the atomizing zone housing. The device also includes one or more delivery nozzles fluidly coupled with the plenum chamber. The delivery nozzles provide outlets from which the two-phase mixture of ceramic-liquid droplets in the carrier gas is delivered onto one or more surfaces of a target object as the coating on the target object.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: December 27, 2022
    Assignee: General Electric Company
    Inventors: Ambarish Jayant Kulkarni, Hrishikesh Keshavan, Mehmet Dede, Bernard Patrick Bewlay, Guanghua Wang, Byron Pritchard, Michael Solomon Idelchik