Multizone Chamber Patents (Class 118/719)
  • Publication number: 20130136862
    Abstract: A plurality of independent reaction cells are disposed within a single process module to allow the deposition of films using MOCVD wherein parameters of the deposition are varied in a combinatorial manner. In some embodiments of the present invention, a plurality of independent reaction cells are disposed within a isolated process modules configured in a linear fashion to allow the deposition of films using MOCVD wherein parameters of the deposition are varied in a combinatorial manner. The independent reaction cells may also be utilized to form multilayer film stacks that are varied in a combinatorial manner.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Patent number: 8449678
    Abstract: A combinatorial processing chamber is provided. The combinatorial processing chamber is configured to isolate a radial portion of a rotatable substrate support, which in turn is configured to support a substrate. The chamber includes a plurality of clusters process heads in one embodiment. An insert having a base plate disposed between the substrate support and the process heads defines a confinement region for a deposition process in one embodiment. The base plate has an opening to enable access of the deposition material to the substrate. Through rotation of the substrate and movement of the opening, multiple regions of the substrate are accessible for performing combinatorial processing on a single substrate.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: May 28, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao, Jeremy Cheng
  • Publication number: 20130130475
    Abstract: An improved feeder system and method for continuous vapor transport deposition that includes at least two vaporizers couple to a common distributor through an improved seal for separately vaporizing and collecting at least any two vaporizable materials for deposition as a material layer on a substrate. Multiple vaporizer provide redundancy and allow for continuous deposition during vaporizer maintenance and repair.
    Type: Application
    Filed: November 7, 2012
    Publication date: May 23, 2013
    Applicant: FIRST SOLAR, INC.
    Inventor: First Solar, Inc.
  • Publication number: 20130129922
    Abstract: This disclosure provides systems, methods and apparatus for processing multiple substrates in a batch cluster tool. A batch cluster tool can include a transfer chamber, an etch process chamber, and one or both of an ALD process chamber and an SAM process chamber. Multiple substrates are transferred from a transfer chamber into an etch chamber. The substrates are exposed to a vapor phase etchant. The substrates can then transferred to an atomic layer deposition (ALD) chamber and exposed to vapor phase reactants to form a thin film on the substrates by ALD. The substrates can be transferred either from the etch process chamber or the ALD chamber to a third chamber and exposed to vapor phase reactants to form a self-assembled monolayer (SAM) on the substrates.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 23, 2013
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Leonard Eugene Fennell
  • Publication number: 20130125815
    Abstract: A plasma enhanced atomic layer deposition (PEALD) system used to form thin films on substrates includes a plasma chamber, a processing chamber, two or more ring units and a control piece. The plasma chamber includes an outer and an inner quartz tubular units, whose central axes are aligned with each other. Therefore, plasma is held and concentrated in a cylindrical space formed between the outer and outer quartz tubular units. Due to the first and second through holes, the plasma flow may be more evenly distributed on most of the surface of the substrate to form evenly distributed thin films and nano particles on the substrate. In addition, due to the alignment and misalignment between the first and second through holes, the plasma generated in the plasma chamber may be swiftly allowed or disallowed to enter to the processing chamber to prevent the precursor from forming a CVD.
    Type: Application
    Filed: March 6, 2012
    Publication date: May 23, 2013
    Inventors: Bo-Heng Liu, Chi-Chung Kei, Meng-Yen Tsai, Wen-Hao Cho, Chih-Chieh Yu, Chien-Nan Hsiao, Da-Ren Liu
  • Patent number: 8444767
    Abstract: A coating device includes a reaction device, a mixing device, a deposition device, a first switching device and a second switching device. The reaction device defines a reaction chamber. The mixing device is connected to the reaction device and defines a mixing chamber that communicates with the reaction chamber. The deposition device is connected to the mixing device and defines a deposition chamber that communicates with the mixing chamber. The first switching device is configured to communicate the reaction chamber and the mixing chamber and separate the reaction chamber from the mixing chamber. The second switching device is configured to communicate the mixing chamber and the deposition chamber and separate the mixing chamber from the deposition chamber.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: May 21, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8440018
    Abstract: The present invention provides an apparatus for supplying a source and an apparatus for depositing a thin film having the same. The apparatus for supplying a source includes a horizontal channel extending in one direction; pumping and transfer ports extending to pass through the horizontal channel, the pumping and transfer ports being spaced apart from each other; a transfer shaft inserted into the horizontal channel to reciprocate therein; and a storage room connected to one side of the pumping port, the storage room storing and supplying a powder source, wherein the transfer shaft comprises at least one transfer hole for allowing the powder source supplied through the pumping port to be filled therein and to be transferred to an external apparatus through the transfer port.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: May 14, 2013
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kyoo Hwan Lee, Hyung Sup Lee
  • Publication number: 20130115373
    Abstract: A rotating type thin film deposition apparatus having an improved structure that allows continuous deposition, and a thin film deposition method used by the rotating type thin film deposition apparatus are provided. The rotating type thin film deposition apparatus includes a deposition device; a circulation running unit that runs a deposition target on a circulation track via a deposition region of the deposition device; and a support unit that supports the deposition target and moves along the circulation track. Thin layers can be precisely and uniformly formed on the entire surface of a deposition target, and since deposition is performed while a plurality of deposition targets move along a caterpillar track, a working speed is faster compared to a method involving a general reciprocating motion, and the size of the thin film deposition apparatus can be reduced.
    Type: Application
    Filed: April 10, 2012
    Publication date: May 9, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Jin-Kwang Kim, Sang-Joon Seo, Seung-Hun Kim
  • Patent number: 8435350
    Abstract: A device for supplying a large number of consumer stations with a predetermined amount of a process medium, in particular a coating device for containers, has a supply line for the process medium and a connection at the consumer station. In order to make such a device simpler from the structural point of view and less expensive, a unit is used, which keeps a predetermined flow rate constant and which comprises a capillary path extending before each connection and dimensioned in accordance with the predetermined amount of process medium, and a unit which is associated with a plurality of connections and which is used for maintaining a defined flow velocity along the capillary path.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: May 7, 2013
    Assignee: Krones AG
    Inventors: Heinz Humele, Andreas Kursawe, Andreas Kraus, Jochen Krueger, John Felts
  • Patent number: 8435349
    Abstract: A high throughput reactor for the mass production of wafers through chemical vapor deposition, mainly to form silicon epitaxies for the photovoltaic industry, is described. Main innovation is a high susceptor stacking density: several graphite susceptors are placed vertically and parallel to one another, electrically interconnected, and are heated by Joule effect. Electrical current gets to the susceptors from the current source through specially designed feedthroughs, which connect the cold room outside the deposition chamber with the hot susceptors. Gas flows vertically between susceptors. The substrates on which deposition occurs are placed on the susceptors. Below the susceptors a pre-chamber is found, in which entering gas calms down and distributes homogeneously. Susceptors and pre-chamber are placed inside a stainless steel chamber, which is internally covered by a reflecting material, and externally kept cold by water.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: May 7, 2013
    Assignee: Universidad Politecnica de Madrid
    Inventors: Antonio Luque Lopez, Juan Carlos Zamorano Saavedra, Ignacio Tobias Galicia, Hugo-Jose Rodriguez San Segundo
  • Patent number: 8434423
    Abstract: Disclosed is a substrate carrying apparatus having a simple configuration capable of inhibiting the occurrence of pattern collapse. A carrying tray of the disclosed substrate carrying apparatus includes a bottom plate for supporting the substrate and a circumferential side wall being provided around the bottom plate. An opening is formed in the bottom plate. An elevating member, to and from which the substrate is to be transferred, passes through the opening. A space is temporarily formed in a carrying tray. The elevating member within the opening passes to the outside of the carrying tray through the space. When the substrate is carried, the liquid is reservoired within the carrying tray, and the substrate is carried while the liquid remained on the upper surface of the substrate.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Kazuo Terada
  • Publication number: 20130108778
    Abstract: A vapor deposition apparatus efficiently performs a deposition process to form a thin film with improved characteristics on a substrate, and a method manufactures an organic light-emitting display apparatus by using such vapor deposition apparatus. The vapor deposition apparatus includes a body including an upper member and a lateral member coupled to the upper member; a receiving portion disposed to face one side of the lateral member; a stage disposed in the receiving portion and supporting the substrate; a plurality of first injection portions disposed in the lateral member and injecting at least one gas into a space between the lateral member and the upper member; a second injection portion disposed in the upper member and injecting at least one gas into the space between the lateral member and the upper member; and a plasma generating portion including a coil and a power source connected to the coil.
    Type: Application
    Filed: September 7, 2012
    Publication date: May 2, 2013
    Applicants: Industry-University Cooperation Foundation Hanyang University ERICA Campus, SAMSUNG DISPLAY CO., LTD.
    Inventors: Sang-Joon Seo, Jae-Eung Oh
  • Publication number: 20130109159
    Abstract: A gas dispersion apparatus for use with a process chamber, comprising: a quartz body having a top, a ring coupled to a bottom surface of the top and a bottom plate having dispersion holes coupled to the ring opposite the top; a plurality of quartz plates disposed between the top and the bottom plate, wherein the plurality of plates are positioned above one another and spaced apart to form a plenum above each of the plurality of plates and the bottom plate; a plurality of quartz tubes to couple the plenums to the plurality of dispersion holes, each of the plurality of quartz tubes having a first end disposed within one of the plenums and having a second end coupled to one of the dispersion holes; and a plurality of conduits disposed through the top, wherein each of the plurality of conduits is coupled to one of the plenums.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: DAVID K. CARLSON
  • Patent number: 8430966
    Abstract: An apparatus and related process are provided for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate. A receptacle is disposed within a vacuum head chamber and is configured for receipt of a source material. A heated distribution manifold is disposed below the receptacle and includes a plurality of passages defined therethrough. The receptacle is indirectly heated by the distribution manifold to a degree sufficient to sublimate source material within the receptacle. A distribution plate is disposed below the distribution manifold and at a defined distance above a horizontal plane of a substrate conveyed through the apparatus. The distribution plate includes a pattern of holes therethrough that further distribute the sublimated source material passing through the distribution manifold onto the upper surface of the underlying substrate.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: April 30, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Christopher Rathweg, Max William Reed, Mark Jeffrey Pavol, Scott Daniel Feldman-Peabody, Russell Weldon Black
  • Patent number: 8430963
    Abstract: A system for vapor deposition of a thin film layer on photovoltaic (PV) module substrates includes a system for cool-down of the vacuum chamber through which substrates are conveyed in a vapor deposition process. The cool-down system is configured with the vacuum chamber to recirculate a cooling gas through the vacuum chamber and through an external heat exchanger in a closed cool-down loop. An associated method for forced cool-down of the vacuum chamber is also provided.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: April 30, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Jason Scott Paulman, Russell Weldon Black, Mark Jeffrey Pavol
  • Patent number: 8430992
    Abstract: Protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. In a Damascene interconnect, PSAB layer typically resides at an interface between the metal layer and a dielectric diffusion barrier layer. PSAB layers promote improved adhesion between a metal layer and an adjacent dielectric diffusion barrier layer. Further, PSAB layers can protect metal surfaces from inadvertent oxidation during fabrication process. A PSAB layer may be formed entirely within the top portion of a metal layer, by, for example, chemically converting metal surface to a thin layer of metal silicide. Thickness of PSAB layers, and, consequently resistance of interconnects can be controlled by partially passivating metal surface prior to formation of PSAB layer. Such passivation can be accomplished by controllably treating metal surface with a nitrogen-containing compound to convert metal to metal nitride.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: April 30, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Kaushik Chattopadhyay, Bart van Schravendijk
  • Patent number: 8430964
    Abstract: A coating apparatus includes a chamber device and a transporting device. The chamber device defines two separated coating chambers, two coating channels, which are alternately arranged, two coating slots communicating the coating chambers with the coating channels respectively, and a transportation channel extending to intersect the coating chambers and the coating channels and communicate with the coating channels. The transporting device includes a carrying board for carrying a substrate to be coated and an a driver for driving the carrying board to move along the transportation channel and to rotate the carrying board into one of the coating channels so that the substrate faces and aligns a corresponding coating chamber via a corresponding coating slot.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: April 30, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8431439
    Abstract: A strip-shape flexible substrate is transported over a long horizontal distance, with its width extending in the vertical direction, the position of the substrate in the vertical direction is maintained with high precision, and the films are deposited onto its surface. When depositing the thin films to manufacture a thin film laminated body, at least one pair of gripping rollers arranged in at least one space between film deposition chambers, and which grasps an upper-side edge portion of the substrate with its width oriented in the vertical direction, are installed such that the rotation direction of the gripping rollers is diagonally upward, at an angle relative to the direction of transport of the substrate, and by changing the force with which the gripping rollers grasp the substrate, a force lifts the substrate, and the height of the substrate can be controlled.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: April 30, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Shoji Yokoyama
  • Publication number: 20130102110
    Abstract: The present invention generally includes an apparatus and process of forming a conductive layer on a surface of a host substrate, which can be directly used to form a portion of an electronic device. More specifically, one or more of the embodiments disclosed herein include a process of forming a conductive layer on a surface of a substrate using an electrospinning type deposition process. Embodiments of the conductive layer forming process described herein can be used to reduce the number of processing steps required to form the conductive layer, improve the electrical properties of the formed conductive layer and reduce the conductive layer formation process complexity over current state-of-the-art conductive layer formation techniques. Typical electronic device formation processes that can benefit from one or more of the embodiments described herein include, but are not limited to processes used to form solar cells, electronic visual display devices and touchscreen type technologies.
    Type: Application
    Filed: October 19, 2012
    Publication date: April 25, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Patent number: 8424485
    Abstract: The invention aims to provide substrate treatment equipment that can automatically collect a substrate in a normal condition without needing manual operation. The equipment includes a substrate holder 26 for holding substrates 12 in a multistage manner and a substrate transfer unit 34 for transferring the substrates 12 into the substrate holder 26, wherein a substrate holding condition of the substrate holder 26 is sensed by a sensing section 60. The sensing section 60 has photo-sensors 64a, 64b, and sensing waveforms sensed by the photo-sensors 64a, 64b are compared with a normal waveform. A control section 66 is provided, which controls a substrate transfer unit 34 such that substrates 12 other than at least a substrate 12 that was determined to be abnormal are transferred by the unit.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: April 23, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Makoto Hirano, Akihiro Yoshida
  • Publication number: 20130095242
    Abstract: The invention discloses a method and system for continuous deposition of thin films by chemical vapor reaction for the purposes of semiconductor device fabrication; in some embodiments a device is a photovoltaic device.
    Type: Application
    Filed: October 13, 2011
    Publication date: April 18, 2013
    Applicant: INTEGRATED PHOTOVOLTAIC, INC.
    Inventor: Sharone Zehavi
  • Publication number: 20130092085
    Abstract: Embodiments relate to a linear deposition apparatus with mechanism for securing a shadow mask and a substrate onto a susceptor. The linear deposition apparatus includes a set of members attached to latches that are raised to unlock the shadow mask and the substrate from the susceptor. The latches are lowered to secure the shadow mask and the substrate to the susceptor. Another set of members are provided in the linear deposition apparatus to move and align the shadow mask with the substrate. The linear deposition apparatus also includes a main body and two wings provided at both sides of the main body to receive the substrate as the substrate moves linearly to expose the substrate to materials or radicals injected by reactors.
    Type: Application
    Filed: October 9, 2012
    Publication date: April 18, 2013
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Synos Technology, Inc.
  • Patent number: 8419341
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 16, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Gee Sun Hoey, Terry Bluck, Hoang Huy Vu, Jimin Ryu
  • Publication number: 20130087097
    Abstract: An apparatus is configured to include a gas supplying part configured to supply a plasma generating gas on a surface on a substrate mounting area side in a turntable and an antenna configured to convert the plasma generating gas to plasma by induction coupling and provided facing the surface of the substrate mounting area side in the turntable so as to extend from a center part to an outer edge part of the turntable. The antenna is arranged so as to have a distance from the turntable in the substrate mounting area not less than 3 mm longer on the center part side than on the outer edge part side.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 11, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Hitoshi KATO, Takeshi Kobayashi, Hiroyuki Kikuchi, Shigehiro Miura
  • Patent number: 8414702
    Abstract: A plasma processing apparatus is described and which includes a chamber having at least two processing stations which are separated by a wall. At least one channel is formed in the wall, and wherein the channel has a width to length ratio of less than about 1:3.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: April 9, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: Qing Qian
  • Patent number: 8414703
    Abstract: An integrated processing tool is described comprising a full-wafer processing module and a combinatorial processing module. Chemicals for use in the combinatorial processing module are fed from a delivery system including a set of first manifolds. An output of each first manifold is coupled to at least one mixing vessel. An output of each mixing vessel feeds more than one of a set of second manifolds. An output of each set of second manifolds feeds one of multiple site-isolated reactors of the combinatorial processing module.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: April 9, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Kurt H. Weiner, Tony P. Chiang, Aaron Francis, John Schmidt
  • Patent number: 8409354
    Abstract: A combinatorial processing chamber and method are provided. In the method a fluid volume flows over a surface of a substrate with differing portions of the fluid volume having different constituent components to concurrently expose segregated regions of the substrate to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed. Differently processed segregated regions are generated through the multiple flowings.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: April 2, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Chi-l Lang, Sunil Shanker
  • Patent number: 8409399
    Abstract: A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Arthur H. LaFlamme, Jr., Thomas Hamelin, Jay R Wallace
  • Patent number: 8409353
    Abstract: A method and apparatus for oxidizing materials used in semiconductor integrated circuits, for example, for oxidizing silicon to form a dielectric gate. An ozonator is capable of producing a stream of least 70% ozone. The ozone passes into an RTP chamber through a water-cooled injector projecting into the chamber. Other gases such as hydrogen to increase oxidation rate, diluent gas such as nitrogen or O2, enter the chamber through another inlet. The chamber is maintained at a low pressure below 20 Torr and the substrate is advantageously maintained at a temperature less than 800° C. Alternatively, the oxidation may be performed in an LPCVD chamber including a pedestal heater and a showerhead gas injector in opposition to the pedestal.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Sundar Ramamurthy, Vedapuram Achutharaman, Cory Czarnik, Mehran Behdjat, Christopher Olsen
  • Publication number: 20130074770
    Abstract: A film deposition apparatus includes processing areas spaced part from each other in a circumferential direction and at least one separation gas nozzle arranged between the process areas, and separates the process areas from each other by supplying a separation gas from the separation gas nozzle. Moreover, a first ceiling surface is provided on the downstream side in a rotational direction of the turntable relative to the separation gas nozzle to form a narrow space between an upper surface of the turntable and a lower surface of the first ceiling surface. Furthermore, a second ceiling surface higher than the first ceiling surface is provided on the upstream side in the rotational direction of the turntable.
    Type: Application
    Filed: September 19, 2012
    Publication date: March 28, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Manabu HONMA
  • Publication number: 20130074771
    Abstract: A method and apparatus are provided for formation of a composite material on a substrate. The composite material includes carbon nanotubes and/or nanofibers, and composite intrinsic and doped silicon structures. In one embodiment, the substrates are in the form of an elongated sheet or web of material, and the apparatus includes supply and take-up rolls to support the web prior to and after formation of the composite materials. The web is guided through various processing chambers to form the composite materials. In another embodiment, the large scale substrates comprise discrete substrates. The discrete substrates are supported on a conveyor system or, alternatively, are handled by robots that route the substrates through the processing chambers to form the composite materials on the substrates. The composite materials are useful in the formation of energy storage devices and/or photovoltaic devices.
    Type: Application
    Filed: November 20, 2012
    Publication date: March 28, 2013
    Inventors: VICTOR L. PUSHPARAJ, Pravin K. Narwankar, Dieter Haas, Bipin Thakur, Mahesh Arcot, Vikas Gujar, Omkaram Nalamasu
  • Patent number: 8404047
    Abstract: An electron beam vapor deposition apparatus includes a coating chamber having a first chamber section with a first coating zone for depositing a first coating and a second chamber section with a second coating zone for depositing a second coating. At least one electron beam source is associated with the first chamber section and the second chamber section. A first crucible is adjacent to the first coating zone for presenting a first source coating material, and a second crucible is adjacent to the second coating zone for presenting a second source coating material. A transport is operative to move a work piece between the first coating zone of the first chamber section and the second coating zone of the second chamber section.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: March 26, 2013
    Assignee: United Technologies Corporation
    Inventors: James W. Neal, Michael J. Maloney, David A. Litton, Christopher Masucci
  • Publication number: 20130071565
    Abstract: An apparatus for growing carbon nanostructures (CNSs) on a substrate can include at least two CNS growth zones with at least one intermediate zone disposed therebetween and a substrate inlet before the CNS growth zones sized to allow a spoolable length substrate to pass therethrough.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 21, 2013
    Applicant: Applied Nanostructured Solutions, LLC
    Inventors: Harry C. Malecki, Jason L. Dahne, James P. Loebach, Randy L. Gaigler, Jordan T. Ledford
  • Publication number: 20130072000
    Abstract: This invention discloses a thin film processing equipment for depositing a film on a substrate and a process for depositing a film on a substrate using the same. The thin film processing equipment comprises a reaction chamber, a gas supplying mechanism, and a transferring mechanism. The thin film processing equipment is characterized in that a gas supplying mechanism is capable of moving up-and-down or left-and-right, and a tray is capable of moving up-and-down, thereby the distance between the gas supplying mechanism and the substrate can be adjusted.
    Type: Application
    Filed: December 22, 2011
    Publication date: March 21, 2013
    Inventors: Ying-Shih Hsiao, Toshiaki Yoshimura
  • Publication number: 20130071567
    Abstract: This invention discloses a thin film process equipment for depositing a film on a substrate and a process of forming the film using the same. The thin film process apparatus comprises a reaction chamber, a gas supplying mechanism, and a transferring mechanism. The film processing equipment is characterized in that the gas supplying mechanism is formed by a plurality of gas supplying ports in form of the concentric-circle structure for spraying down different kinds of gas, so that the mixing of different kinds of gas become uniform, thus facilitate the gas reaction and the formation of films.
    Type: Application
    Filed: December 22, 2011
    Publication date: March 21, 2013
    Inventors: Ying-Shih HSIAO, Toshiaki Yoshimura
  • Publication number: 20130061804
    Abstract: A substrate processing apparatus includes a processing chamber; process areas each of which supplies a reaction gas; a turntable that rotates to cause a substrate to pass through the process areas; a gas nozzle provided in one of the process areas; a separating area that supplies a separation gas to separate atmospheres of the process areas; and a cover part configured to cover the gas nozzle and cause the reaction gas supplied from the gas nozzle to remain around the gas nozzle. The cover part includes an upstream side wall, a downstream side wall, and an upper wall. The cover part also includes a guide surface configured to guide the separation gas to flow over a lower part of the upstream side wall to a space above the upper wall. The distance between the gas nozzle and the upstream side wall is greater than or equal to 8 mm.
    Type: Application
    Filed: August 30, 2012
    Publication date: March 14, 2013
    Inventors: Tadashi ENOMOTO, Mitsuhiro TACHIBANA, Haruhiko FURUYA, Kentaro OSHIMO
  • Patent number: 8388397
    Abstract: The present invention provides a liquid crystal cell manufacturing device and a method thereof. The liquid crystal cell manufacturing device includes a pre-alignment vacuum chamber, a vacuum lamination chamber and a sealant curing chamber. The pre-alignment vacuum chamber includes a comb-type transferring system for aligning a first substrate with a second substrate and sending them into the vacuum lamination chamber. The vacuum lamination chamber uses a lamination device to laminate the first substrate and the second substrate into a substrate assembly under a nearly vacuum status, and then uses a transferring device to send the substrate assembly to the sealant curing chamber. The sealant curing chamber uses at least one UV spot light source to move above the substrate assembly and irradiate a surface of the substrate assembly to cure at least one sealant in the substrate assembly, and thereby complete manufacture of liquid crystal cells of liquid crystal panels.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: March 5, 2013
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Yun Wang
  • Patent number: 8388754
    Abstract: A method and apparatus for depositing a film on a substrate includes introducing a material and a carrier gas into a heated chamber. The material may be a semiconductor material, such as a cadmium chalcogenide. A resulting mixture of vapor and carrier gas containing no unvaporized material is provided. The mixture of vapor and carrier gas are remixed to achieve a uniform vapor/carrier gas composition, which is directed toward a surface of a substrate, such as a glass substrate, where the vapor is deposited as a uniform film.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: March 5, 2013
    Assignee: First Solar, Inc.
    Inventors: Ricky Charles Powell, Andrew Kelly Gray, Todd Alden Coleman
  • Patent number: 8388753
    Abstract: A coating apparatus includes a deposition case, a reaction assembly, two precursors, a target, and a driving assembly. The deposition case includes a housing defining a cavity for receiving workpieces. The reaction assembly receives in the cavity and includes an outer barrel, an inner barrel, a plurality of nozzles, and a plurality of pipes. The outer barrel includes a main body and two protruding bodies. The main body and the inner barrel cooperatively define a first room therebetween. Each protruding body defines a second room communicating with the first room. The inner barrel defines a third room. The nozzles extend from the main body and communicate with the first room. The pipes extend from the inner barrel and communicate with the third room. The precursors receive in the second rooms. The target receives in the third room. The driving assembly drives the housing to rotate relative to the reaction assembly.
    Type: Grant
    Filed: May 31, 2010
    Date of Patent: March 5, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Publication number: 20130047922
    Abstract: A thermal bridge connecting first and second processing zones and a method for transferring a work piece from a first to a second processing zone by way of the thermal bridge are disclosed. A work piece, transportable from the first to the second processing zone on or above the thermal bridge, is maintained at a temperature between the temperatures of the processing zones. The thermal bridge member features a thermally conductive transport member for the work piece supported over an infrared transmissive member that is insulative to heat conduction and convection. The bridge insulative member extends between the first and second processing zones or between reactors. An infrared radiation beam source emits infrared radiation which passes through the bridge insulative member to the transport member, heating the member. In an alternate embodiment, the transport member may be heated directly. A liner member may be mounted above the bridge member to retain heat.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Applicant: ALTA DEVICES, INC.
    Inventors: Gregg Higashi, Khurshed Sorabji, Andreas Hegedus
  • Publication number: 20130052346
    Abstract: A chemical vapor deposition reactor has one or more deposition zones bounded by gas flow virtual walls, within a housing having closed walls. Each deposition zone supports chemical vapor deposition onto a substrate. Virtual walls formed of gas flows laterally surround the deposition zone, including a first gas flow of reactant gas from within the deposition zone and a second gas flow of non-reactant gas from a region laterally external to the deposition zone. The first and second gas flows are mutually pressure balanced to form the virtual walls. The virtual walls are formed by merging of gas flows at the boundary of each deposition zone. The housing has an exhaust valve to prevent pressure differences or pressure build up that would destabilize the virtual walls. Cross-contamination is reduced, between the deposition zones and the closed walls of the housing or an interior region of the housing outside the gas flow virtual walls.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Applicant: ALTA DEVICES, INC.
    Inventors: Gregg Higashi, Alexander Lerner, Khurshed Sorabji, Lori D. Washington
  • Patent number: 8382897
    Abstract: Methods for gas delivery to a process chamber are provided herein. In some embodiments, a method may include flowing a process gas through one or more gas conduits, each gas conduit having an inlet and an outlet for facilitating the flow of gas through the gas conduits and into a gas inlet funnel having a second volume, wherein each gas conduit has a first volume less than the second volume, and wherein each gas conduit has a cross-section that increases from a first cross-section proximate the inlet to a second cross-section proximate the outlet but excluding any intersection points between the gas inlet funnel and the gas conduit, and wherein the second cross-section is non-circular; and delivering the process gas to the substrate via the gas inlet funnel.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: February 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kedarnath Sangam, Anh N. Nguyen
  • Patent number: 8382902
    Abstract: Apparatus and method for vapor deposition of a uniform thickness thin film of lubricant on at least one surface of a disk-shaped substrate. The invention has particular utility in depositing thin films of polymeric lubricants onto disc-shaped substrates in the manufacture of magnetic and MO recording media.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: February 26, 2013
    Inventors: Michael Joseph Stirniman, Paul Stephen McLeod
  • Patent number: 8382901
    Abstract: A method and apparatus for depositing a film on a substrate includes introducing a material and a carrier gas into a heated chamber. The material may be a semiconductor material, such as a cadmium chalcogenide. A resulting mixture of vapor and carrier gas containing no unvaporized material is provided. The mixture of vapor and carrier gas are remixed to achieve a uniform vapor/carrier gas composition, which is directed toward a surface of a substrate, such as a glass substrate, where the vapor is deposited as a uniform film.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: February 26, 2013
    Assignee: First Solar, Inc.
    Inventors: Ricky Charles Powell, Andrew Kelly Gray, Todd Alden Coleman
  • Patent number: 8382088
    Abstract: A substrate processing apparatus is disclosed for bringing a substrate from a carrier, by a substrate transfer portion inside a transfer chamber, into a processing module to perform a process therein. The substrate processing apparatus includes a substrate storing chamber coupled to an exterior of the transfer chamber via a transfer opening to be in communications with the transfer chamber; a first storing shelf in the substrate storing chamber to store substrates for a first storing purpose; a second storing shelf in the substrate storing chamber to store substrates for a second storing purpose different from the first storing purpose; and a shifting mechanism that shifts the first and the second storing shelves to position a substrate storing area of one of the first and the second storing shelves so that substrate transferring is enabled between the substrate storing area and the substrate transfer portion via the transfer opening.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Keisuke Kondoh
  • Publication number: 20130045339
    Abstract: Techniques for diamond nucleation control for thin film processing are disclosed. In one particular embodiment, the techniques may be realized as a method for generating a plasma having a plurality of ions; depositing a plurality of diamond nucleation centers on a substrate with the ions in the plasma using an extraction plate having at least one gap, wherein the plasma ions pass through the at least one gap in the extraction plate to generate a focused ion beam to deposit the plurality of diamond nucleation centers; and controlling the growth of a continuous diamond film from the diamond nucleation centers on the substrate by controlling at least one of a temperature around the substrate, a temperature of the plasma, a pressure around the substrate, and a concentration of the ions in the plasma.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Xianfeng Lu, Anthony Renau
  • Patent number: 8375891
    Abstract: There is provided a vacuum evaporating apparatus which is suitable for performing a process in which a metal vapor atmosphere is formed in a processing chamber, the metal atoms in this metal vapor atmosphere are caused to be adhered to the surface of an object to be processed, and the metal atoms adhered to the surface of the object to be processed are diffused into grain boundary phases thereof. The apparatus comprises: a processing furnace (11); at least one processing box (4) disposed inside the processing furnace; and a heating means (2) provided inside the processing furnace so as to enclose the processing box. An evacuating means is provided which, after housing the processing box inside the processing furnace in a state in which the object to be processed (S) and the metal evaporating material (V) are disposed in the processing box, reduces the processing furnace and the processing box to a predetermined pressure and keep them at that pressure.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: February 19, 2013
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Kyuzo Nakamura, Takeo Katou, Atsushi Nakatsuka, Ichirou Mukae, Masami Itou, Ryou Yoshiizumi, Yoshinori Shingaki
  • Patent number: 8377210
    Abstract: The invention provides a multi-film forming apparatus including a substrate holder stock chamber for storing a plurality of substrate holders separately from a path in the multi-film forming apparatus, so that production can be performed without being affected by the process of removing a film accumulated on the surface of the substrate holder and the process of replacing the substrate holder, or by the process of removing a film accumulated on the surface of the substrate holder or the process of replacing the substrate holder, and hence high-throughput production is possible. A branch path is provided on the path of the multi-film forming apparatus, and a substrate holder stock chamber for storing a plurality of substrate holders which enables retrieval of the substrate holder from the path and feeding of the substrate holder to the path is provided.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: February 19, 2013
    Assignee: Anelva Corporation
    Inventors: Shinji Furukawa, Masahiro Shibamoto
  • Patent number: 8377213
    Abstract: Methods and apparatus for increasing flow uniformity are provided herein. In some embodiments, a slit valve having increased flow uniformity may be provided, the slit valve may include a housing having an opening disposed therethrough, the opening configured to allow a substrate to pass therethrough; a gas inlet formed in the housing; an outer plenum disposed in the housing and coupled to the gas inlet; an inner plenum disposed in the housing and coupled to the outer plenum via a plurality of holes; and a plurality of gas outlets disposed in the housing and fluidly coupling the opening to the inner plenum.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: February 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Chandrasekhar Balasubramanyam, Helder Lee, Miriam Schwartz, Elizabeth Wu, Kedarnath Sangam
  • Patent number: 8372196
    Abstract: In a manufacturing apparatus for manufacturing an epitaxial wafer with a wafer being mounted substantially concentrically with a susceptor, a center rod is provided to extend in an up-and-down direction on a side of a non-mounting surface of the susceptor so that its upper end is adjacent to the center of the susceptor. With this arrangement, part of radiation light irradiated toward the susceptor is diffusely reflected by the center rod before reaching the central portion of the susceptor, thereby reducing the amount of the radiation light irradiated to the central portion of the susceptor as well as lowering the temperature of the portion. Since the center rod and the susceptor are not in surface contact, the center rod does not take the heat from the susceptor, thereby suppressing the temperature from decreasing locally at the central portion of the susceptor.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: February 12, 2013
    Assignee: Sumco Techxiv Corporation
    Inventors: Motonori Nakamura, Yoshinobu Mori, Takeshi Masuda, Hidenori Kobayashi, Kazuhiro Narahara