Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 7244313
    Abstract: A plasma etch process includes a plasma etch step performed with a photoresist mask on a workpiece using a polymerizing etch process gas that produces in the plasma polymerizing species which accumulate as a protective polymer layer on the surface of said photoresist mask during the etch step, the process including the following steps performed in the same chamber after the etch step and prior to removing the photoresist mask: (a) removing residue of the type including polymer material from chamber surfaces including a ceiling of said chamber, by coupling RF plasma source power into the chamber while coupling substantially no RF plasma bias power into the chamber, and introducing a hydrogen-containing gas into the chamber, until said residue is removed from the chamber surfaces; (b) removing the protective polymer layer from the surface of the photoresist mask, by coupling RF plasma bias power into the chamber while coupling substantially no RF plasma source power into the chamber, and introducing into the
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: July 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Gerardo A. Delgadino, Chang-Lin (Peter) Hsieh
  • Patent number: 7241725
    Abstract: The polishing fluid is useful for polishing tantalum-containing barrier materials of a semiconductor substrate. The polishing fluid includes a nitrogen-containing compound having at least two nitrogen atoms comprising imine compounds and hydrazine compounds. The nitrogen-containing compound is free of electron-withdrawing substituents; and the polishing fluid is capable of removing the tantalum-containing barrier materials from a surface of the semiconductor substrate without an abrasive.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: July 10, 2007
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Jinru Bian
  • Patent number: 7237561
    Abstract: An apparatus for cleaning a semiconductor wafer and method for cleaning a wafer using the same wherein, the apparatus includes a chamber on which a wafer is mounted, a revolving chuck mounted in the chamber for supporting and fixing the wafer, a nozzle for spraying cleaning solution onto the wafer, a cover for covering an upper part of the chamber, and a light source. The cleaning solution, preferably one of ozone water, hydrogen water, or electrolytic-ionized water, may be heated for a short time and used to clean the wafer.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: July 3, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Im-soo Park, Kun-tack Lee, Yong-pil Han, Sang-rok Hah
  • Patent number: 7235188
    Abstract: The present invention relates to dilute aqueous solutions containing phosphoric acid and methods for cleaning plasma etch residue from semiconductor substrates including such dilute aqueous solutions. The solution according to the invention may advantageous contain an alkaline compound, one or more other acid compounds, and/or a fluoride-containing compound and may optionally contain additional components such as organic solvents, chelating agents, amines, and/or surfactants.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: June 26, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Jerome Daviot, Christopher Reid, Douglas Holmes
  • Patent number: 7235516
    Abstract: A substrate surface cleaning liquid medium and a cleaning method using the cleaning liquid medium are capable of removing finely particulate contaminants more efficiently than conventional techniques from substrates for devices in the production of semiconductor devices, display devices, etc., which cleaning liquid medium contains the following ingredients (A), (B), (C), and (D), has a pH of 9 or higher, and a content of ingredient (C) of 0.01 to 4% by weight: (A) an ethylene oxide addition type surfactant which has an optionally substituted hydrocarbon group and a polyoxyethylene group in the same molecular structure and in which the ratio of the number of carbon atoms contained in the hydrocarbon group (m) to the number of oxyethylene groups in the polyoxyethylene group (n), m/n, is m/n?1.5, (B) an alkali ingredient, (C) hydrogen peroxide, and (D) water.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: June 26, 2007
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Hitoshi Morinaga, Hideaki Mochizuki, Atsushi Itou
  • Patent number: 7235492
    Abstract: In one embodiment of the invention, a method for finishing or treating a silicon-containing surface is provided which includes removing contaminants and/or smoothing the surface contained on the surface by a slow etch process (e.g., about <100 ?/min). The silicon-containing surface is exposed to an etching gas that contains an etchant, a silicon source and a carrier gas. Preferably, the etchant is chlorine gas so that a relatively low temperature (e.g., <800° C.) is used during etching or smoothing processes. In another embodiment of the invention, a method for etching a silicon-containing surface during a fast etch process (e.g., about >100 ?/min) is provided which includes removing silicon-containing material to form a recess in a source/drain (S/D) area on the substrate. The silicon-containing surface is exposed to an etching gas that contains an etchant, preferably chlorine, a carrier gas and an optional silicon source.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: June 26, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Arkadii V. Samoilov
  • Publication number: 20070137671
    Abstract: A deposit cleaning system for removing deposits from interior surfaces of ion sources and/or electrodes includes a fluorine source, a throttle mechanism, and a controller. The fluorine source supplies fluorine to the ion source as a cleaning material. The throttle mechanism mitigates loss of fluorine through a source aperture of the ion source by at least partially covering the source aperture. The controller controls the supply and flow rate from the fluorine source to the ion source and also controls the positioning of the throttle mechanism.
    Type: Application
    Filed: September 29, 2006
    Publication date: June 21, 2007
    Inventors: William F. DiVergilio, Daniel R. Tieger, William P. Reynolds, Christopher W. Hodgdon, Sean Joyce
  • Patent number: 7232492
    Abstract: There is provided a method of forming a thin film for providing improved fabrication productivity. The method includes introducing a semiconductor substrate into a process chamber. A process thin film is formed on the semiconductor substrate, in which a chamber coating layer is formed on inner walls of the process chamber while the process thin film is formed. The semiconductor substrate is removed from the process chamber. A stress relief layer is formed on the chamber coating layer. After all of the above operations are repeatedly performed at least one time, an in-situ cleaning is performed on the chamber coating layer and the stress relief layer, which are alternately formed in stack on the inner walls of the process chamber.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: June 19, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Seok-Jun Won, Weon-Hong Kim, Dae-Jin Kwon
  • Patent number: 7228865
    Abstract: An embodiment of the invention is a method of cleaning a material stack 2 that has a hard mask top layer 8. The method involves cleaning the material stack 2 with a fluorine-based plasma etch. The method further involves rinsing the material stack 2 with a wet clean process.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: June 12, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Lindsey H. Hall, Scott R. Summerfelt
  • Patent number: 7229521
    Abstract: An etching system (3) includes an etching chamber (31), an etchant solution tank (32) connected with the etching chamber, a heater (34) set in the tank, and a deionized water (DIW) adding device. The DIW adding device includes a DIW feeding pipe (36) having a first timer (361), and a clean dry air (CDA) feeding pipe (35) having a second timer (351). The DIW and CDA feeding pipes combine into a main pipe (37) that is connected with the etching chamber. A portion of the DIW feeding pipe is set in the tank and heated by the heater. The temperature of the DIW fed into the etching chamber and the temperature of the etchant solution pumped into the etching chamber are same. Thus when the DIW is fed into the etching chamber, the temperature of the etching chamber does not fluctuate, which can maintain the quality of the etching process.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: June 12, 2007
    Assignee: Innolux Display Corp.
    Inventors: Chen-Hsien Ou, Chang Kuei Huang, Sheng-Chou Gau, Jung-Lung Huang, Ching-Feng Chen, Chih Hung Huang
  • Patent number: 7223721
    Abstract: A resist removing composition having a superior capability for removing a resist, polymer, organometallic polymer and etching by-products such as metal oxide, which does not attack underlying layers exposed to the composition and which does not leave residues after a rinsing step. The resist removing composition contains alkoxy N-hydroxyalkyl alkanamide and a swelling agent.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: May 29, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-jin Park, Kyung-dae Kim, Sang-mun Chon, Jin-ho Hwang, Il-hyun Sohn, Sang-oh Park, Pil-kwon Jun
  • Patent number: 7223352
    Abstract: A post-etch residue cleaning composition for cleaning ashed or unashed aluminum/SiN/Si post-etch residue from small dimensions on semiconductor substrates. The cleaning composition contains supercritical CO2 (SCCO2), alcohol, fluoride source, an aluminum ion complexing agent and, optionally, corrosion inhibitor. Such cleaning composition overcomes the intrinsic deficiency of SCCO2 as a cleaning reagent, viz., the non-polar character of SCCO2 and its associated inability to solubilize species such as inorganic salts and polar organic compounds that are present in the post-etch residue and that must be removed from the semiconductor substrate for efficient cleaning. The cleaning composition enables damage-free, residue-free cleaning of substrates having ashed or unashed aluminum/SiN/Si post-etch residue thereon.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: May 29, 2007
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Eliodor G. Ghenciu, Chongying Xu, Thomas H. Baum
  • Patent number: 7220714
    Abstract: A process for removing residues from the microstructure of an object is provided, which comprises steps of preparing a remover including carbon dioxide, an additive for removing the residues and a co-solvent dissolving the additive in said carbon dioxide at a pressurized fluid condition; and bringing the object into contact with the remover so as to remove the residues from the object. A composition for removing residues from the microstructure of an object is also provided.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: May 22, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Kaoru Masuda, Katsuyuki Iijima, Tetsuya Yoshikawa, Darry W. Peters
  • Patent number: 7216653
    Abstract: A cleaning method for removing foreign bodies during the fabrication of semiconductor devices including treating a substrate with a cleaning solution including an oxidizer to form a chemical oxide layer and then removing the chemical oxide layer, thereby removing foreign bodies from a surface of the semiconductor substrate. Accordingly, the foreign bodies can be substantially removed from the surface of the substrate without corroding a metal.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: May 15, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Sang-Jun Choi, Chang-Ki Hong
  • Patent number: 7208411
    Abstract: A method of depositing a metal film on a substrate includes a supercritical preclean step, a supercritical desorb step, and a metal deposition step. Preferably, the preclean step comprises maintaining supercritical carbon dioxide and a chelating agent in contact with the substrate in order to remove an oxide layer from a metal surface of the substrate. More preferably, the preclean step comprises maintaining the supercritical carbon dioxide, the chelating agent, and an acid in contact with the substrate. Alternatively, the preclean step comprises maintaining the supercritical carbon dioxide and an amine in contact with the oxide layer. The desorb step comprises maintaining supercritical carbon dioxide in contact with the substrate in order to remove adsorbed material from the substrate.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: April 24, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian A. Biberger, Paul E. Schilling
  • Patent number: 7208858
    Abstract: An ultrasonic cleaning tank for use in cleaning electronic parts having a top portion and a bottom portion operably divided by a perforated dispersion plate. The cleaning tank is assembled to avoid internal projections or obstructions within the top portion to create a piston-like, laminar flow region. The dispersion plate is constructed to provide a backpressure within the bottom portion so as to promote even flow of a cleaning fluid through the perforations. The cleaning fluid flows upward past an electronic part. At the same time, an ultrasonic transducer supplies ultrasonic energy within the cleaning fluid creating cavitation such that any particulate matter is scrubbed from the electronic parts. The particulates are subsequently carried upward by the laminar flow and over a tank lip. The cleaning tank can be used in either a batch or recirculating mode.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: April 24, 2007
    Assignee: Forward Technology A Crest Group Company
    Inventors: Russell Manchester, Wayne Mouser
  • Patent number: 7205265
    Abstract: A remover composition and method for removing resists from substrates containing nucleophilic amine and at least one solvent is described. Optionally, a chelating agent can also be included in the remover composition. The remover composition is especially suitable for removing a variety of resists from substrates at different stages in the process of manufacturing integrated circuits.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: April 17, 2007
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 7202175
    Abstract: The present invention discloses a technique of removing a substance from a substrate surface, such as stripping photoresist from a wafer, or forming a substance on a substrate surface. Substrates to be treated are parallel arranged at an equal interval and are immersed in a liquid with only a lower portion thereof being below the liquid surface. Gas such as ozone is introduced into the liquid and is continuously bubbling below the substrates. The bubbles will ascend between two adjacent substrates and climb on the surfaces of the substrates before they burst. The liquid boundary layers on the substrate surfaces are compressed and refreshed in the course of a dragging ascent of the bubbles, enhancing mass transfer between gas/liquid/solid substances across the liquid boundary layer, thereby resulting in a fast reaction and a fast treatment of the surface of the substrates.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: April 10, 2007
    Assignee: Industrial Technology Research Institute
    Inventors: Kon-Tsu Kin, Chiou-Mei Chen, Jen-Chung Lou, Ching-Yi Hsu, Farhang Shadman
  • Patent number: 7202951
    Abstract: A system for analyzing a thin film uses an energy beam, such as a laser beam, to remove a portion of a contaminant layer formed on the thin film surface. This cleaning operation removes only enough of the contaminant layer to allow analysis of the underlying thin film, thereby enhancing analysis throughput while minimizing the chances of recontamination and/or damage to the thin film. An energy beam source can be readily incorporated into a conventional thin film analysis tool, thereby minimizing total analysis system footprint. Throughput can be maximized by focusing the probe beam (or probe structure) for the analysis operation at the same location as the energy beam so that repositioning is not required after the cleaning operation. Alternatively, the probe beam (structure) and the energy beam can be directed at different locations to reduce the chances of contamination of the analysis optics.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: April 10, 2007
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Gary R. Janik, Patrick M. Maxton
  • Patent number: 7199091
    Abstract: Recently, use is made of copper wiring as the wiring material for semiconductor devices, and of low dielectric constant films as the insulating film between the lines of wiring. In this connection, a photoresist stripper is in need which can inhibit corrosion or damage on the copper wiring or the Low-k film, and which has excellent property of removing ashed photoresist residues. The invention provides a photoresist stripper (hereinafter, referred to as the stripper of the invention) characterized in containing a tertiary amine compound, an alkaline compound, a fluoro compound, and an anionic surfactant; and a process for preparation of semiconductor devices using the stripper of the invention.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: April 3, 2007
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventor: Masayuki Takashima
  • Patent number: 7195021
    Abstract: A method for cleaning optics in a chamber. The method can include introducing a first etchant into a chamber that encloses an optical component and a source of electromagnetic radiation that is suitable for lithography, ionizing the first etchant, and removing debris from a surface of the optical component.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 27, 2007
    Assignee: Intel Corporation
    Inventors: Michael Chan, Robert Bristol, Mark Doczy
  • Patent number: 7192910
    Abstract: Composition for cleaning or etching a semiconductor substrate and method for using the same. The composition may include a fluorine-containing compound as an active agent such as a quaternary ammonium fluoride, a quaternary phosphonium fluoride, sulfonium fluoride, more generally an -onium fluoride or “multi” quaternary -onium fluoride that includes two or more quaternary- onium groups linked together by one or more carbon-containing groups. The composition may further include a pH adjusting acid such as a mineral acid, carboxylic acid, dicarboxylic acid, sulfonic acid, or combination thereof to give a pH of about 2 to 9. The composition can be anhydrous and may further include an organic solvent such as an alcohol, amide, ether, or combination thereof. The composition are useful for obtaining improved etch rate, etch selectivity, etch uniformity and cleaning criteria on a variety of substrates.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: March 20, 2007
    Assignee: Sachem, Inc.
    Inventors: William A. Wojtczak, Dean Dewulf, Sian Collins
  • Patent number: 7188630
    Abstract: A method for processing semiconductor wafers is disclosed. A solution is applied to a semiconductor wafer to prevent dendrites and electrolytic reactions at the surface of metal interconnects. The solution can be applied during a CMP process or during a post CMP cleaning process. The solution may include a surfactant and a corrosion inhibitor. In one embodiment, the concentration of the surfactant in the solution is less than approximately one percent by weight and the concentration of the corrosion inhibitor in the solution is less than approximately one percent by weight. The solution may also include a solvent and a cosolvent. In an alternate embodiment, the solution includes a solvent and a cosolvent without the surfactant and corrosion inhibitor. In one embodiment, the CMP process and post CMP cleaning process can be performed in the presence of light having a wavelength of less than approximately one micron.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: March 13, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: John C. Flake, Kevin E. Cooper, Saifi Usmani
  • Patent number: 7185661
    Abstract: A method of cleaning a substrate comprises placing the substrate on a rotating fixture, placing a liquid on at least one side of the substrate, and creating a standing wave of megasonic energy oriented generally parallel to the substrate. The standing wave generates an associated pattern of high-agitation regions in the liquid. The method further comprises moving the standing wave back-and-forth so as to move the pattern of high-agitation regions about with respect to the substrate. An apparatus for cleaning substrates comprises a support to rotate the substrate about a first axis, and a transmitter extending generally parallel to a surface of the substrate. The apparatus further comprises a megasonic transducer in acoustically coupled relation to the transmitter, and a reciprocation drive in fixed relation to the transmitter. The reciprocation drive moves the transmitter back-and-forth within a plane generally parallel to the surface of the substrate.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: March 6, 2007
    Assignee: Akrion Technologies, Inc.
    Inventor: Mario E. Bran
  • Patent number: 7186657
    Abstract: A wafer has a trench, a STI layer formed in the trench, an HfO2-containing gate dielectric covering the wafer and the STI layer, a gate electrode formed on the HfO2-containing gate dielectric, and at least a spacer formed beside the gate electrode. The wafer is preheated and a bromine-rich gas plasma is provided to remove portions of the HfO2-containing gate dielectric.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: March 6, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Jeng-Huey Hwang, Wei-Tsun Shiau, Chien-Ting Lin, Jiunn-Ren Hwang
  • Patent number: 7176173
    Abstract: An object of the present invention is to provide washing liquid for semiconductor substrate capable of removing grinding grains of silica, alumina or the like in a polishing agent and polishing trashes of copper, and capable of leaving little organic substance due to an additive used for preventing corrosion of copper on the surface of a copper wiring after washing, while corrosion of copper is suppressed, and a method of producing a semiconductor device using this washing liquid. The object is achieved by washing liquid for a semiconductor substrate having a copper wiring, comprising a basic compound and at least one selected from the group consisting of sugar alcohols and saccharides.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: February 13, 2007
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventor: Masayuki Takashima
  • Patent number: 7172981
    Abstract: A sealed type container accommodating a semiconductor substrate is positioned to a load port of a semiconductor manufacturing apparatus. The semiconductor substrate is taken out of the container. An ionizer is used for static-charge-eliminating the semiconductor substrates before and after process treatment in a transport area between the load port and a treatment section. The static-charge-eliminated semiconductor substrate is accommodated in the container positioned to the load port. Thus, it is possible to decrease foreign materials adhering to the semiconductor substrate and errors in handling the semiconductor substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: February 6, 2007
    Assignee: Renesas Technology Corp.
    Inventor: Yoshiaki Kobayashi
  • Patent number: 7168436
    Abstract: The invention relates to a gas for removing deposits by a gas-solid reaction. This gas includes a hypofluorite that is defined as being a compound having at least one OF group in the molecule. Various deposits can be removed by the gas, and the gas can easily be made unharmful on the global environment after the removal of the deposits, due to the use of a hypofluorite. The gas may be a cleaning gas for cleaning, for example, the inside of an apparatus for producing semiconductor devices. This cleaning gas comprises 1–100 volume % of the hypofluorite. Alternatively, the gas of the invention may be an etching gas for removing an unwanted portion of a film deposited on a substrate. The unwanted portion can be removed by this etching gas as precisely as originally designed, due to the use of a hypofluorite. The invention further relates to a method for removing a deposit by the gas.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: January 30, 2007
    Assignee: Central Glass Company, Limited
    Inventors: Isamu Mouri, Tetsuya Tamura, Mitsuya Ohashi
  • Patent number: 7165560
    Abstract: In order to reliably remove, by wet etching, a compound containing a metal and silicon, e.g., a silicate (101a) containing hafnium metal, the silicate (101a) is oxidized and then the oxidized silicate (101a) is wet-etched.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: January 23, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Shinji Fujii
  • Patent number: 7166419
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: January 23, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7163018
    Abstract: Methods of preventing air-liquid interfaces on the surface of a wafer in order to prevent the formation of particle defects on a wafer are presented. The air-liquid interfaces may be prevented by covering the entire surface of the wafer with liquid at all times during a cleaning process while the surface of the wafer is hydrophobic. Methods of preventing the formation of silica agglomerates in a liquid during a pH transition from an alkaline pH to a neutral pH are also presented, including minimizing the turbulence in the liquid solution and reducing the temperature of the liquid solution during the transition.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: January 16, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, Christopher Laurent Beaudry
  • Patent number: 7156111
    Abstract: A method and system for the megasonic cleaning of one or more substrates that reduces damage to the substrate(s) resulting from the megasonic energy. The substrates are supported in a process chamber and contacted with a cleaning solution comprising a cleaning liquid having carbon dioxide gas dissolved in the cleaning liquid in such amounts that the carbon dioxide gas is at a supersaturated concentration for the conditions within the process chamber. Megasonic energy is then transmitted to the substrate. The cleaning solution provides protection from damage resulting from the application of megasonic/acoustical energy. In another aspect, the invention is a system for carrying out the method. The invention is not limited to carbon dioxide but can be used in conjunction with any gas that, when so dissolved in a cleaning liquid, protects substrates from being damaged by the application of megasonic/acoustical energy.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: January 2, 2007
    Assignee: Akrion Technologies, Inc
    Inventors: Cole S. Franklin, Yi Wu, Brian Fraser
  • Patent number: 7157375
    Abstract: A process for photoresist layer removal from a semiconductor wafer comprises exposing at relatively high temperature the wafer to an RIE-free microwave-energy-generated plasma of a primary gas mixture, the exposing causing photoresist removal such as by ashing. The method also comprises determining an endpoint to the removal by a determined change in the visible light emanating from a chamber containing the wafer. A multi-step process of the present invention comprises the above method and a preliminary RIE-free microwave-energy-generated plasma that solubilizes polymer on walls of vias of the wafer. This multi-step process also comprises, following the exposing step, a cooling step, a cooling step with a temperature check, and a deglazing step. The deglazing step also uses an RIE-free microwave-energy-generated plasma. Specific gas mixtures for the respective plasmas are exemplified. Other embodiments of methods of the present invention are comprised of less steps, or a consolidation of such steps.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: January 2, 2007
    Assignee: Agere Systems, Inc.
    Inventors: Timothy Scott Campbell, Kelly Hinckley, Paul B. Murphey, Daniel M. Oman, Paul Edward Wheeler
  • Patent number: 7156923
    Abstract: A thermal processing system (1) includes a reaction vessel (2) capable of forming a silicon nitride film on semiconductor wafers (10) through interaction between hexachlorodisilane and ammonia, and an exhaust pipe (16) connected to the reaction vessel (2). The reaction vessel 2 is heated at a temperature in the range of 500 to 900° C. and the exhaust pipe (16) is heated at 100° C. before disassembling and cleaning the exhaust pipe 16. Ammonia is supplied through a process gas supply pipe (13) into the reaction vessel (2), and the ammonia is discharged from the reaction vessel (2) into the exhaust pipe (16).
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: January 2, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kohei Fukushima, Atsushi Endo, Tatsuo Nishita, Takeshi Kumagai
  • Patent number: 7157381
    Abstract: A method for providing whisker-free aluminum metal lines or aluminum alloy lines in integrated circuits includes the following steps: providing a substrate; providing a whisker-containing layer made of aluminum metal or an aluminum alloy on the substrate; back-etching and/or resputtering the whisker-containing layer such that the whiskers are essentially removed; and structuring the whisker-free layer into the lines.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: January 2, 2007
    Assignees: Infineon Technologies AG, Nanya Technology Corporation
    Inventors: Dirk Efferenn, Jens Hahn, Uwe Kahler, Chung-Hsin Lin, Jens Bachmann, Wen-Bin Lin, Grit Bonsdorf
  • Patent number: 7149598
    Abstract: A process-oriented modulized plant for TFT-LCD fabrication capable of preventing cross contaminations is proposed. A plant includes a plurality of independent fabs and warehouses connected between the fabs. Each independent fab can carry out at least one TFT-LCD processes. The respective process used in the first independent fab produces the first group of contaminants. Another respective processes carried out in the second independent fab are affected by the contaminations from the first group of contaminants and results in deterioration of yield rate of the fabrication. At least one automatic transport-and-storage system for central collection and distribution is located in each warehouse. Each warehouse is connected to the independent fabs via at least one automated material handling system to link up the processes together.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: December 12, 2006
    Assignee: L&K Engineering Co., Ltd.
    Inventor: Kenneth Yao
  • Patent number: 7145286
    Abstract: A transducer comprising an acoustic energy generating means and a resonator. The acoustic energy generating means generates acoustic energy and is adapted for delivering an approximately uniform amount of acoustic energy to each unit of surface area on a substrate in a given time period when the substrate is rotating. The acoustic energy generating means has a surface area that is less than the surface area of the substrate, and may comprise two or more piezoelectric crystal segments that are separately controllable with respect to power and/or time. When assembled, the two more piezoelectric crystal segments give the acoustic energy generating means a rectangular shape, a wedge shape or a triangle shape. The resonator is attached to the acoustic energy generating means for transmitting the acoustic energy to the substrate.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: December 5, 2006
    Assignee: Product Systems Incorporated
    Inventors: Mark J. Beck, Richard B. Vennerbeck, Raymond Y. Lillard, Eric G. Liebscher
  • Patent number: 7140374
    Abstract: A method for cleaning a processing chamber that includes heating an inner surface of the processing chamber to a first temperature. The first temperature can be sufficient to cause a first species to become volatile. The first species can be one of several species deposited on the inner surface. A cleaning chemistry is injected into the processing chamber. The cleaning chemistry can be reactive with a second one of the species to convert the second species to the first species. The volatilized first species can also be output from the processing chamber. A system for cleaning the process chamber is also described.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: November 28, 2006
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare, Arthur M. Howald, Yunsang Kim
  • Patent number: 7141123
    Abstract: A cleanling apparatus for removing contaminants from the surface of a substrate includes two parts: one which produces an aerosol including frozen particles and directs the aerosol onto the surface of the substrate to remove contaminants from the surface by physical force, and another part in which a fluid including a gaseous reactant is directed onto the surface of the substrate while the surface is irradiated to cause a chemical reaction between the reactant and organic contaminants on the surface, to chemically removing the organic contaminants. In the method of cleaning the substrate, the physical and chemical cleaning processes are carried out in a separate manner from one another so that the frozen particles of the aerosol are not exposed to the effects of the light used in irradiating the surface of the substrate. Therefore, the effectiveness of the aerosol in cleaning the substrate is maximized.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: November 28, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-hee Lee, Kun-tack Lee, Woo-gwan Shim, Jong-ho Chung
  • Patent number: 7141121
    Abstract: The invention provides a semiconductor washing solution which can suppress occurrence of variation of the shape of a semiconductor device when the semiconductor device is washed and can maintain a stabilized washing capacity for a long period of time. An organic acid ammonium salt is added to a mixed solution of ammonium hydroxide, hydrogen peroxide and water (NH4OH:H2O2:H2O) to prepare the semiconductor washing solution. The organic acid ammonium salt to be added is one or more selected from ammonium acetate, ammonium citrate, ammonium formate and ammonium oxalate. The concentration of the added organic acid ammonium salt ranges from 0.1 mol/l to 20 mol/l.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: November 28, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Hidemitsu Aoki
  • Patent number: 7138364
    Abstract: A chamber-cleaning gas and an etching gas used for a silicon-containing film according to the present invention comprise a perfluoro cyclic ether having 2 to 4 carbon atoms which are ether-linked with carbon atoms. The chamber-cleaning gas and the etching gas hardly generate a harmful waste gas, such as CF4, which is one of the causes for global warming so that they are good for environment. Further, they are a non-toxic gas or a volatile liquid, and are easy to use and are excellent in treatment of waste gas. Additionally, the chamber-cleaning gas of the present invention has an excellent cleaning rate.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: November 21, 2006
    Assignees: Asahi Glass Company, Limited, Anelva Corporation, Ulvac, Inc., Kanto Denka Kogyo Co., Ltd., Sanyo Electric Co., Ltd., Sony Corporation, Daikin Industries, Ltd., Hitachi Kokusai Electric Inc., Fujitsu Limited, Matsushita Electric Industrial Co., Ltd., Renesas Technology Corp., National Institute of Advanced Industrial Science and Technology
    Inventors: Yutaka Ohira, Yuki Mitsui, Taisuke Yonemura, Akira Sekiya
  • Patent number: 7138362
    Abstract: There is provided a washing liquid composition for a semiconductor substrate having a contact angle between the surface thereof and water dropped thereon of at least 70 degrees, the washing liquid composition including an aliphatic polycarboxylic acid and a surfactant, and the washing liquid composition having a contact angle of at most 50 degrees when dropped on the semiconductor substrate. It is thereby possible to effectively remove particles and metals on the surface of a hydrophobic substrate without corroding it.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: November 21, 2006
    Assignees: Kanto Kagaku Kabushiki Kaisha, NEC Electronics Corporation
    Inventors: Yumiko Abe, Norio Ishikawa, Hidemitsu Aoki, Hiroaki Tomimori, Yoshiko Kasama
  • Patent number: 7135445
    Abstract: A new cleaning chemistry based on bis-choline and tris-choline compounds, such as their hydroxides, is provided in order to address the removal of photoresist and flux while minimizing any etching of the substrate.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: November 14, 2006
    Assignee: EKC Technology, Inc.
    Inventors: Richard William Charm, De-Ling Zhou, Robert J. Small, Shihying Lee
  • Patent number: 7129167
    Abstract: A method of cleaning a substrate includes receiving a substrate and applying a stress-free cleaning process to the top surface of the substrate. The substrate includes a top surface that is substantially free of device dependent planarity nonuniformities and device independent planarity nonuniformities. The top surface also includes a first material and a device structure formed in the first material, the device structure being formed from a second material. The device structure has a device surface exposed. The device surface has a first surface roughness. A system for stress-free cleaning a substrate is also described.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: October 31, 2006
    Assignee: LAM Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare, Yunsang Kim, Simon McClatchie
  • Patent number: 7129029
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: October 31, 2006
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7117876
    Abstract: A method of processing thin flat articles, particularly semiconductor wafers, utilizing sonic energy. In one aspect, the invention is a method comprising: supporting a substrate in a generally horizontal orientation and transmitting sonic energy to the substrate while flowing liquid onto both sides of the substrate to loosen particles on both sides of the substrate while maintaining said orientation. In another aspect, the invention is a cleaning method comprising: applying cleaning fluid to one side of a thin flat article while supporting the article in a generally horizontal orientation; and applying energy to the other one of the sides with sufficient power to produce vibration on the one side in the area of the cleaning fluid to loosen particles on the one side, while maintaining said orientation.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: October 10, 2006
    Assignee: Akrion Technologies, Inc.
    Inventor: Mario E. Bran
  • Patent number: 7112530
    Abstract: A method of forming a contact hole in a semiconductor device, by which a PMD layer as an insulating interlayer is prevented from being overetched by wet cleaning for removing polymer and photoresist after forming a contact hole perforating the PMD layer in a manner of adjusting temperature and concentration of an NC-2 solution for the wet cleaning. The present invention includes the steps of forming a premetal dielectric layer on a semiconductor substrate, forming a contact hole perforating the premetal dielectric layer, and cleaning the substrate using an NC-2 cleaning solution at a temperature equal to or lower than about 55° C.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 26, 2006
    Assignee: Dongu Electronics Co., Ltd.
    Inventor: Byoung Yoon Seo
  • Patent number: 7108003
    Abstract: Pluralities of ultrasonic transducers are arranged on the bottom wall of the cleaning tank. The output power of the ultrasonic oscillator is supplied to the transducers through the switching unit, which switches the drive mode between a first mode in which all the ultrasonic transducers are supplied with the output power and thus are excited, and a second mode in which only a part or parts of the ultrasonic transducers are supplied with the output power generated by the ultrasonic oscillator. The first mode is used when cleaning substrates not-resistant to vibration, and the second mode is used when cleaning substrates resistant to vibration.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: September 19, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Shigenori Kitahara
  • Patent number: 7110113
    Abstract: A system for analyzing a thin film simultaneously applies a pulsed cleaning beam and a measurement beam to an analysis location on a test sample to enhance measurement accuracy. The pulsed cleaning beam prevents contaminant regrowth on the analysis location during the actual measurement. To minimize the effects of thermal transients from the pulsed cleaning beam on measurement data, cleaning pulses can be timed to fall between data samples. Alternatively, data sampling can be blocked during each cleaning operation (i.e., each cleaning pulse and subsequent cooldown period) or data levels can be clamped at measurement levels from just before the start of the cleaning operation for the duration of the cleaning operation. Alternatively, data samples taken during each cleaning operation can be discarded or replaced with data samples from just before the cleaning operation using post-processing techniques.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: September 19, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Gary R. Janik, Dan G. Georgesco
  • Patent number: 7105985
    Abstract: A transducer comprised of a resonator and a piezoelectric crystal for cleaning single items such as semiconductor wafers and flat panel displays. The resonator is an elongated piece of material having a cross section that is trapezoidal in shape. The parallel sides of the trapezoid form the top and bottom ends of the resonator and the nonparallel sides of the trapezoid focus the acoustic energy on the bottom end of the resonator.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: September 12, 2006
    Assignee: Product Systems Incorporated
    Inventors: Mark J. Beck, Richard B. Vennerbeck