Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 7468323
    Abstract: An etching process includes providing a dielectric first film on a substrate and a sacrificial second film on the dielectric first film. A conductive structure such as a container capacitor is formed in a recess in the first and second films. The conductive structure is exposed as to its external surface by an etch process that resists destructive collapse of the conductive structure.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kevin Torek, Kevin Shea, Thomas Graettinger
  • Patent number: 7468105
    Abstract: An antimicrobial cleaning composition and methods for cleaning semiconductor substrates, particularly after chemical mechanical planarization or polishing, are provided. In one embodiment, the cleaning composition combines a solvent, a cleaning agent such as a hydroxycarboxylic acid or salt thereof, and at least one antimicrobial agent resulting in a cleaning composition in which microbial growth is inhibited. Examples of suitable antimicrobial agents include a benzoic acid or salt such as potassium or ammonium benzoate, and sorbic acid or salt such as potassium sorbate. The composition is useful for cleaning a wafer and particularly for removing residual particles after a conductive layer has been planarized to a dielectric layer under the conductive layer in a chemical mechanical planarization of a semiconductor wafer with abrasive slurry particles, particularly after a CMP of copper or aluminum films.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 7467632
    Abstract: A photoresist cleaning solution and method for forming photoresist patterns using the same. More specifically, disclosed are a photoresist cleaning solution comprising H2O and an ionic surfactant represented by Formula 1, and a method for forming a photoresist pattern using the same. By spraying the cleaning solution of the present invention over photoresist film before and/or after exposing step, pattern formation in an undesired region caused by ghost images can be removed.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Cheol Kyu Bok
  • Patent number: 7468326
    Abstract: A wafer is provided and loaded in a reaction chamber. Subsequently, the wafer is lifted up, and a dry clean process is performed on the wafer to clean the front side, the back side, and the bevel of the wafer. Following that, a deposition process is performed on the wafer. The dry clean process and the deposition process are carried out in an in-situ manner.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: December 23, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Te Chen, Yi-Ching Wu, Chien-Tung Huang
  • Publication number: 20080295871
    Abstract: A chemical supply system comprises, as principal elements, a chemical storage tank in which a liquid chemical for cleaning is stored in the state of its formulated concentrate, a chemical supply apparatus connected to the chemical storage tank for positively performing chemical supply, a piping system connected to the chemical supply apparatus to form a supply flow passage that is a passage for ultrapure water which the liquid chemical is to be mixed with, a pair of discharge nozzles disposed at end portions of the piping system so as to oppose surfaces of a wafer set in a cleaning chamber to supply a cleaning liquid onto the surfaces. Thereby, remarkable miniaturization/simplification of a cleaning liquid supply system including chemical tanks is intended, it is made possible easily and rapidly to compound and supply a cleaning liquid at an accurate chemical concentration, and particles or the like being generated and mixing in a cleaning liquid, are suppressed to the extremity.
    Type: Application
    Filed: March 26, 2007
    Publication date: December 4, 2008
    Applicant: Sipec Corporation
    Inventors: Takahisa Nitta, Nobuhiro Miki, Yoshiaki Yamaguchi
  • Patent number: 7459398
    Abstract: Disclosed is a CMP slurry comprising an abrasive grain, and a mixed surfactant comprising a first polyether type nonionic surfactant having an HLB value ranging from 3 to 9 at room temperature, and a second polyether type nonionic surfactant having an HLB value ranging from 10 to 20 at room temperature.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: December 2, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Yukiteru Matsui, Hiroyuki Yano
  • Publication number: 20080289651
    Abstract: A wafer edge cleaning system that includes a wafer dry etching chamber and one or more irradiation sources preferably positioned inside the wafer dry etching chamber. The irradiation source such as laser generates a beam aimed at the periphery of the wafer to melt any defects, in particular, black silicon at the edge of the wafer. Preferably, the wafer is mounted on a rotating platform. The invention further provides a method for removing black silicon at the edge of a semiconductor wafer that includes the steps of: patterning the wafer with a trench mask layer; etching the wafer to form a trench thereon; exposing the edge of the wafer to a laser beam to melt the black silicon thereon; stripping the mask and cleaning the wafer.
    Type: Application
    Filed: May 25, 2007
    Publication date: November 27, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Louis C. Hsu, Jack A. Mandelman
  • Publication number: 20080289650
    Abstract: Disclosed herein is a method of cleaning oxide from a surface in the fabrication of an integrated device using reducing radicals and UV radiation. For silicon surfaces, the cleaning may be performed at a temperature at which a hydrogen-terminated passivated surface is stable, such that the surface remains protected after loading into the chamber until the cleaning is performed. Performing the cleaning at a lower temperature also consumes a reduced portion of the thermal budget of a semiconductor device. Epitaxial deposition can then be performed over the cleaned surface.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 27, 2008
    Applicant: ASM America, Inc.
    Inventor: Chantal J. Arena
  • Publication number: 20080292877
    Abstract: The present invention provides a method of cleaning a GaAs substrate with less precipitate particles after cleaning. This cleaning method comprises an acid cleaning step (S11), a deionized water rinsing step (S12), and a rotary drying step (S13). First, a GaAs substrate with a mirror finished surface is immersed in an acid cleaning solution in the acid cleaning step (S11). In the acid cleaning step, the cleaning time is less than 30 seconds. Next, the deionized water rinsing step performs the cleaned GaAs substrate with deionized water (S12) to wash away the cleaning solution deposited thereon. Subsequently, the rotary drying step dries the GaAs substrate deposited on deionized water (S13). This provides the cleaned GaAs substrate with less precipitate particles.
    Type: Application
    Filed: April 25, 2005
    Publication date: November 27, 2008
    Inventors: Yusuke Horie, Takayuki Nishiura, Tomoki Uemura
  • Patent number: 7456140
    Abstract: A composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 10 percent by weight to about 95% by weight of a sulfoxide or sulfone solvent, and from about 20 percent by weight to about 50 percent by weight water. The composition may contain corrosion inhibitors, chelating agents, co-solvents, basic amine compounds, surfactants, acids and bases.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: November 25, 2008
    Assignee: EKC Technology, Inc.
    Inventors: Robert J. Small, Bakul P. Patel, Wai Mun Lee, Douglas Holmes, Jerome Daviot, Chris Reid
  • Patent number: 7451774
    Abstract: An apparatus for wet processing individual wafers comprising; a means for holding the wafer; a means for providing acoustic energy to a non-device side of the wafer; and a means for flowing a fluid onto a device side of the wafer.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: November 18, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, J. Kelly Truman, Alexander Ko, Rick R. Endo
  • Publication number: 20080276960
    Abstract: The invention relates to a method for creating transient cavitation comprising the steps of creating gas bubbles having a range of bubble sizes in a liquid, creating an acoustic field and subjecting the liquid to the acoustic field, characterized in that the range of bubble sizes and/or the characteristics of the acoustic field are selected to tune them to each other, thereby controlling transient cavitation in the selected range of bubble sizes. It also relates to an apparatus suitable for performing the method according to the invention.
    Type: Application
    Filed: May 12, 2008
    Publication date: November 13, 2008
    Applicants: INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC), SAMSUNG ELECTRONICS CO. LTD.
    Inventors: Frank Holsteyns, Kuntack Lee
  • Publication number: 20080276959
    Abstract: The invention relates to the continuous cleaning of objects, in particular of semiconductor elements, using ultrasound, wherein the objects to be cleaned are arranged within a liquid. Furthermore, the present invention relates to an apparatus for carrying out the method according to the invention. A basic idea of the invention is that the surface of an object to be cleaned (2) in a tank (5) filled with liquid passes through at least one oscillation maximum that is emitted by at least one sound source (8a) being present in the tank (5). According to one embodiment, the sound source fields (8) that are positioned within the tank (5) are arranged inclined with respect to the transport direction (4).
    Type: Application
    Filed: March 15, 2006
    Publication date: November 13, 2008
    Inventors: Norbet Burger, Mirko Lohmann, Richard Herter
  • Patent number: 7448395
    Abstract: The present invention substantially removes dry etch residue from a dry plasma etch process 110 prior to depositing a cobalt layer 124 on silicon substrate and/or polysilicon material. Subsequently, one or more annealing processes 128 are performed that cause the cobalt to react with the silicon thereby forming cobalt silicide regions. The lack of dry etch residue remaining between the deposited cobalt and the underlying silicon permits the cobalt silicide regions to be formed substantially uniform with a desired silicide sheet and contact resistance. The dry etch residue is substantially removed by performing a first cleaning operation 112 and then an extended cleaning operation 114 that includes a suitable cleaning solution. The first cleaning operation typically removes some, but not all of the dry etch residue. The extended cleaning operation 114 is performed at a higher temperature and/or for an extended duration and substantially removes dry etch residue remaining after the first cleaning operation 112.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: November 11, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Freidoon Mehrad, Lindsey Hall, Vivian Liu, Clint Montgomery, Scott Johnson
  • Patent number: 7448396
    Abstract: An impurities elimination apparatus including a base plate, a first nozzle for removing impurities on the base plate using air suction, a glass substrate disposed on the base plate, and a second nozzle for coating the glass substrate with an organic material.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: November 11, 2008
    Assignee: LG Display Co., Ltd.
    Inventor: Chul Jeong
  • Publication number: 20080271750
    Abstract: A cleaning tool to clean a surface of a liquid confinement system of an immersion lithographic apparatus is disclosed, the liquid confinement system having an aperture to allow passage of a beam of radiation therethrough of an immersion lithographic apparatus. The cleaning tool includes a sonic transducer, a reservoir configured to hold liquid between the sonic transducer and the surface to be cleaned, and a barrier positioned in the reservoir under the aperture to form, in use, a shield to sonic waves.
    Type: Application
    Filed: June 29, 2007
    Publication date: November 6, 2008
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Bauke Jansen, Raymond Gerardus Marius Beeren, Anthonius Martinus Cornelis Petrus De Jong, Kornelis Tijmen Hoekerd
  • Publication number: 20080271751
    Abstract: An apparatus and a method for cleaning a semiconductor wafer including performing a first cleaning process for removing particles from the semiconductor wafer by injecting a cleaning gas in the chamber and on the semiconductor wafer and then performing a second cleaning process after the first cleaning process by generating an electric field in the chamber and over the semiconductor wafer.
    Type: Application
    Filed: April 15, 2008
    Publication date: November 6, 2008
    Inventor: Jun-Seok Lee
  • Publication number: 20080271749
    Abstract: A method and system for cleaning opposed surfaces of a semiconductor wafer having particulate matter thereon. The method includes generating relative movement between a fluid and the substrate. The relative movement is in a direction that is transverse to a normal to one of the opposed surfaces and creates two spaced-apart flows. Each of the flows is adjacent to one of the opposed surfaces that is different from the opposed surface that is adjacent to the remaining flow of the plurality of flows. The fluid has coupling elements entrained therein, and the relative movement is established to impart sufficient drag upon a subset of the coupling elements to create movement of the coupling elements of the subset within the fluid. In this manner, a quantity of the drag is imparted upon the particulate matter to cause the particulate matter to move with respect to the substrate.
    Type: Application
    Filed: May 2, 2007
    Publication date: November 6, 2008
    Applicant: Lam Research Corporation
    Inventors: Erik M. Freer, John M. deLarios, Michael Ravkin, Mikhail Korolik, Fritz C. Redeker
  • Publication number: 20080264442
    Abstract: A method of cleaning a substrate without causing damage to the substrate is provided. The method comprises the steps of providing a transmitter made of a material that is a good conductor of megasonic energy, positioning the transmitter so that a lower edge is positioned spaced from but closely adjacent to a substantially flat surface of the substrate so that when liquid is applied to the edge and the substrate, a meniscus of liquid is formed between the edge and the substrate, providing a transducer for producing megasonic vibration, coupling the transducer to the transmitter so that a transmission path is created to transmit the megasonic vibration into the transmitter, and creating a barrier in the transmission path so that the liquid vibration is attenuated directly beneath the lower edge of the transmitter.
    Type: Application
    Filed: October 17, 2007
    Publication date: October 30, 2008
    Inventor: Mario E. Bran
  • Publication number: 20080257380
    Abstract: An apparatus of cleaning a workpiece for microelectronic applications can include fixture to help position the workpiece. In one aspect the apparatus can include a tank and a transducer. In another aspect the apparatus can include a nozzle. The fixture, the tank, the nozzle, or any combination thereof can include an electrostatic dissipative material having a volume resistivity Rv not less than 1E5 ohm-cm and not greater than 1E11 ohm-cm. In a particular embodiment, a process of cleaning includes directing mechanical energy through a fluid to help overcome energy binding a contaminant to the workpiece.
    Type: Application
    Filed: April 16, 2008
    Publication date: October 23, 2008
    Applicant: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
    Inventors: Oh-Hun Kwon, Raymond H. Bryden, Qiang Zhao
  • Patent number: 7439189
    Abstract: The invention concerns a method of treating wafers comprising at least one surface layer of silicon-germanium (SiGe) and a layer of strained silicon beneath the SiGe layer. The strained silicon layer is denuded by a step of selective etching of the SiGe layer by dispensing an etching solution onto the rotating wafer. Selective etching is then followed by a step of cleaning the surface of the strained silicon layer with an aqueous ozone solution dispensed onto the rotating wafer.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: October 21, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Cécile Delattre
  • Patent number: 7434588
    Abstract: The present invention provides a spin cleaning and drying apparatus of single-wafer processing type which cleans a substrate with a cleaning liquid from a rinse nozzle while rotating the substrate and dries the substrate after cleaning while rotating the substrate, comprising: a spin chuck which holds and rotates the substrates; a cup which has an opening on an upper side, a water discharge port and an exhaust gas port in a bottom portion, and encloses the spin chuck; a flow regulating plate which has a gas injection port in a middle and is provided so as to be able to move backward and forward to a position opposed to the substrate and spaced therefrom at a prescribed distance and to an upward or sideward retracted position; and an exhaust cover, having multiple slit holes each with a hood which opens to an upper surface, is provided below the spin chuck.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: October 14, 2008
    Assignee: Tokyo Seimitsu Co., Ltd.
    Inventor: Shinichi Tsujimura
  • Patent number: 7435712
    Abstract: This disclosure discusses cleaning of semiconductor wafers after the Chemical-Mechanical Planarization (CMP) of the wafer during the manufacturing of semiconductor devices. Disclosed is an alkaline chemistry for the post-CMP cleaning of wafers containing metal, particularly copper, interconnects. Residual slurry particles, particularly copper or other metal particles, are removed from the wafer surface without significantly etching the metal, leaving deposits on the surface, or imparting significant contamination to the wafer while also protecting the metal from oxidation and corrosion. Additionally, at least one strong chelating agent is present to complex metal ions in solution, facilitating the removal of metal from the dielectric and preventing re-deposition onto the wafer.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: October 14, 2008
    Assignee: Air Liquide America, L.P.
    Inventors: Ashutosh Misra, Matthew L. Fisher
  • Publication number: 20080245390
    Abstract: Systems and methods for cleaning particulate contaminants adhered to wafer surfaces are provided. A cleaning media including dispersed coupling elements suspended within the cleaning media is applied over a wafer surface. External energy is applied to the cleaning media to generate periodic shear stresses within the media. The periodic shear stresses impart momentum and/or drag forces on the coupling elements causing the coupling elements to interact with the particulate contaminants to remove the particulate contaminants from the wafer surfaces.
    Type: Application
    Filed: April 3, 2007
    Publication date: October 9, 2008
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Erik M. Freer, John M. de Larios, Michael Ravkin, Mikhail Korolik, Fritz C. Redeker
  • Patent number: 7431040
    Abstract: An apparatus and method for dispensing a rinse solution on a substrate in which the rinse solution is dispensed through one nozzle array substantially near a center of a substrate and is dispensed through a second nozzle array across a radial span of the substrate. Accordingly, the apparatus includes a first nozzle array including at least one nozzle and configured to dispense the rinse solution substantially near a center of the substrate, a first control valve coupled to the first nozzle array and configured to actuate a first flow rate of the rinse solution through the first nozzle array, a second nozzle array including a plurality of nozzles and configured to dispense the rinse solution across a radial span of the substrate, and a second control valve coupled to the second nozzle array and configured to actuate a second flow rate of said rinse solution through the second nozzle array.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: October 7, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Hitoshi Kosugi
  • Publication number: 20080236615
    Abstract: A method and apparatus for processing two substrates is provided. The apparatus comprises a chamber having an upper opening, a lower process volume adapted to retain a process solution, and an upper process volume, wherein the chamber is proportioned to vertically process two substrates. The apparatus further comprises a substrate transfer assembly adapted to transfer two substrates in and out of the chamber through the upper opening and one or more megasonic transducers disposed in the chamber, wherein the one or more megasonic transducers are configured to direct megasonic energy towards the process solution retained in the chamber.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 2, 2008
    Inventors: VICTOR B. MIMKEN, Robert D. Tolles
  • Patent number: 7427168
    Abstract: In a developing method for performing developing treatment of a substrate by supplying a developing solution onto a resist film formed on a surface of the substrate, the present invention controls a zeta potential of the surface of the substrate at a predetermined potential in the same polarity as that of a zeta potential of insoluble substances floating in the developing solution, thereby preventing or reducing the adhesion of the insoluble substances to the resist film and the substrate. This remedies the occurrence of development defects. The adhesion of the insoluble substances to the resist film and the substrate can also be prevented or inhibited by supplying an acid liquid to a liquid on the substrate, or controlling a pH value of the liquid on the substrate to control an absolute value of the zeta potential of the insoluble substances.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: September 23, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Yuko Ono, Junichi Kitano
  • Publication number: 20080223399
    Abstract: A substrate processing apparatus includes: a mounting table to have the substrate placed thereon in a process chamber; a first temperature adjusting mechanism temperature-adjusting the substrate placed on the mounting table; a lifter mechanism lifting up the substrate from the mounting table in the process chamber; and a second temperature adjusting mechanism temperature-adjusting the substrate lifted up from the mounting table by the lifter mechanism, wherein the first temperature adjusting mechanism and the second temperature adjusting mechanism temperature-adjust the substrate to different temperatures respectively.
    Type: Application
    Filed: March 13, 2008
    Publication date: September 18, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tadashi ONISHI
  • Patent number: 7422019
    Abstract: The invention relates to a method for cleaning semiconductor surfaces to achieve to removal of all kinds of contamination (particulate, metallic and organic) in one cleaning step. The method employs a cleaning solution for treating semiconductor surfaces which is stable and provokes less or no metal precipitation on the semiconductor surface.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: September 9, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC) vzw
    Inventors: Rita De Waele, Rita Vos
  • Patent number: 7422020
    Abstract: A porous dielectric layer is formed on a substrate. Aluminum is incorporated in the porous dielectric layer with a pattern process using an Aluminum gas precursor. The incorporated Aluminum improves the mechanical properties of the porous dielectric layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 9, 2008
    Assignee: Intel Corporation
    Inventors: Vijayakumar Ramachandrarao, Grant Kloster
  • Patent number: 7419914
    Abstract: A method for fabricating a semiconductor device with a borderless via/wiring structure includes the steps of performing borderless via etching using a resist mask to form a contact hole in an interlevel dielectric layer over a semiconductor substrate so as to expose two different metal materials of lower layer patterns in the contact hole; and performing plasma irradiation using an H2O-containing gas prior to a wet process when removing the resist mask.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 2, 2008
    Assignee: Fujitsu Limited
    Inventor: Naoki Nishida
  • Patent number: 7419614
    Abstract: A method of etching and cleaning objects contained in a vessel, includes etching the objects by providing etching solution into the vessel, forcing out the etching solution from the vessel by providing pressurized gas into the vessel; cleaning the objects by providing cleaning solution into the vessel; and draining the cleaning solution from the vessel. By forcing out the etching solution with a pressurized gas such as nitrogen gas, there is no density difference of the etching solution in contact with the objects, leading to uniform etching of the objects.
    Type: Grant
    Filed: December 4, 2000
    Date of Patent: September 2, 2008
    Assignee: LG Display Co., Ltd.
    Inventors: Jeong-Jin Kim, Il-Ryong Park, Hae-Joo Choi
  • Publication number: 20080202551
    Abstract: The present invention relates to a method for cleaning solar cell substrates comprising (S11) slicing an ingot for manufacturing solar cell substrates, which is hung from a slicing machine, into a plurality of substrates, and placing the substrates into a cleaning machine as the substrates are vertically hung down from the slicing machine parallel to each other; (S12) removing the cutting oil remaining on surfaces of the substrates placed vertically; (S13) activating the surfaces of the substrates for better cleaning of the surfaces of the substrates; and (S14) chemically etching the active surfaces of the substrates. The cleaning process is performed on the substrates directly as the substrates are placed in the slicing process. Therefore, the present invention prevents breakage or additional contamination of the substrates which may occur in an intermediate handling process, and effectively removes slurry between adjacent substrates and various stains on the surfaces of the substrates.
    Type: Application
    Filed: December 27, 2007
    Publication date: August 28, 2008
    Applicant: Siltron Inc.
    Inventor: Hyun-Seok Joo
  • Patent number: 7417016
    Abstract: The present invention relates to a composition for the removal of so-called “sidewall residues” from metal surfaces, in particular from aluminium or aluminium-containing surfaces, in particular from aluminium or aluminium-containing surfaces, during the production of semiconductor elements.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 26, 2008
    Assignee: BASF SE
    Inventors: Raimund Mellies, Marc Boerner, Lucia Arnold, Andrea Barko, Rudolf Rhein
  • Patent number: 7416611
    Abstract: In a method and apparatus for cleaning or processing a workpiece, a process gas is brought into contact with the workpiece by diffusion through a heated liquid layer on the workpiece, and by bulk transport achieved by entraining the gas in a liquid stream, spray or jet impinging on the workpiece. The process gas, which may be ozone, is entrained in the liquid via entrainment nozzles. Use of entrainment and diffusion together increases the amount of gas available for reaction at the workpiece surface, increases the reaction rate, and decreases required process times.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: August 26, 2008
    Assignee: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Publication number: 20080196742
    Abstract: A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
    Type: Application
    Filed: February 7, 2008
    Publication date: August 21, 2008
    Inventor: Mark Jonathan Beck
  • Patent number: 7410909
    Abstract: A method of removing an ion implanted photoresist comprises performing first cleaning a semiconductor substrate having the ion implanted photoresist using hot deionized water to which a megasonic process is applied, first rinsing the semiconductor substrate using cold deionized water, drying the semiconductor substrate, removing the ion implanted photoresist, and second cleaning the semiconductor wafer using an SPM solution.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: August 12, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ji Hye Han, Ok Min Moon, Woo Jin Kim, Hyo Seob Yoon, Ji Yong Park, Kee Joon Oh
  • Patent number: 7410814
    Abstract: An effective electropurge process and apparatus for wet processing of semiconductor wafers applies electrical charges to the wafer surface with an ample voltage sufficient to provide an effective field intensity which can substantially eliminate intolerable sub-0.05 micron “killer” defects when making highly advanced microchips with a feature size or line width less than 0.15 micron. The process can be used with frequent voltage reversal for automated wet-batch cleaning operations using cassettes that hold 10 to 50 wafers at a time and in various other operations involving megasonic transducers, mechanical brush scrubbers, laser cleaners and CMP equipment. The electropurge process is primarily intended for Fab plants where large wafers with a diameter of 200 to 400 mm require 250 to 350 steps including many dry layering, patterning and doping operations and at least 30 wet processing steps.
    Type: Grant
    Filed: October 19, 2005
    Date of Patent: August 12, 2008
    Inventors: Ted A. Loxley, Vincent A. Greene
  • Publication number: 20080173327
    Abstract: A substrate processing apparatus has a two-fluid nozzle having an inner cylindrical member and an outer cylindrical member. Gas flows in the inner cylindrical member which is a gas passage and the processing liquid downwardly flows in a processing liquid passage constituted of the inner and outer cylindrical members. The gas and the processing liquid are mixed in a mixing area below the inner cylindrical member to generate fine droplets, and the droplets are ejected from an outlet of a lower end of the outer cylindrical member onto a substrate. Charge is induced on the processing liquid by generating an electric potential difference between a first electrode provided in the gas passage and a second electrode provided in the processing liquid passage, to generate charged droplets. In the nozzle, the first electrode is isolated from the processing liquid with a simple construction, and the droplets can be charged efficiently.
    Type: Application
    Filed: December 12, 2007
    Publication date: July 24, 2008
    Inventor: Masahiro Miyagi
  • Publication number: 20080163891
    Abstract: An apparatus for cleaning a wafer has a first chamber and a component coupled to the first chamber. The first chamber has a first input to form de-ionized water droplets. The component is coupled to the first chamber to further atomize and apply the atomized de-ionized water droplets on the wafer.
    Type: Application
    Filed: January 10, 2007
    Publication date: July 10, 2008
    Inventors: JIANSHE TANG, Wei Lu, Bo Xie, Elias Martinez, Zhiyong Li, Kent Child, Richard Endo, Konstantin Smekalin
  • Patent number: 7396806
    Abstract: To provide a detergent composition which has little corrosion to a wiring material and is excellent in cleaning ability of a semiconductor substrate or semiconductor device on which the fine particles and the metal impurities are deposited. A detergent composition comprising a reducing agent, wherein the detergent composition has an oxidation-reduction potential at 25° C. of +0.2 V or less, and a pH at 25° C. of from 3 to 12; and a cleaning process of a semiconductor substrate or a semiconductor device using the detergent composition.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: July 8, 2008
    Assignee: Kao Corporation
    Inventors: Akimitsu Sakai, Atsushi Tamura
  • Patent number: 7396416
    Abstract: A substrate cleaning device comprises a chamber for cleaning a substrate; a substrate support installed in the chamber providing a surface for supporting the substrate during cleaning thereof; at least one cleaning solution supply outlet for spraying a cleaning solution onto a surface of the substrate; a vibration force generator for supplying a vibratory action; a vibration force generating shaft which receives said vibratory action from the vibration force generator so that said vibration force generating shaft vibrates for agitating the cleaning solution on the substrate; and a vibration force distributor for preventing a vibration force from being concentrated on a portion of the substrate below the vibration force generating shaft.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: In-Joon Yeo
  • Publication number: 20080156346
    Abstract: A method for photolithography processing includes forming a photoresist layer on a surface of a substrate, baking the substrate to remove solvents from the photoresist layer, cleaning an edge of the substrate with a tape, and exposing the photoresist layer with radiation energy. The tape includes a cleaning material. The tape is positioned proximate to or in contact with the edge of the substrate while the substrate is rotating.
    Type: Application
    Filed: December 28, 2006
    Publication date: July 3, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsien-Cheng Wang, Hung Chang Hsieh, Tsiao-Chen Wu, Jian-Hong Chen
  • Publication number: 20080156347
    Abstract: A cleaning liquid for an electronic material, in particular, a silicon wafer, uses ultra-pure water or hydrogen water as raw material water, and performs cleaning in combination with ultrasonic irradiation under the presence of hydrogen micro-bubbles. The method enables efficient cleaning and removal of particle components and the like on the wafer surface and prevention of re-contamination.
    Type: Application
    Filed: December 19, 2007
    Publication date: July 3, 2008
    Applicant: SILTRONIC AG
    Inventors: Teruo Haibara, Yoshihiro Mori, Takashi Mouri
  • Patent number: 7390758
    Abstract: A sealed type container accommodating a semiconductor substrate is positioned to a load port of a semiconductor manufacturing apparatus. The semiconductor substrate is taken out of the container. An ionizer is used for static-eliminating the semiconductor substrates before and after process treatment in a transport area between the load port and a treatment section. The static-eliminated semiconductor substrate is accommodated in the container positioned to the load port. Thus, it is possible to decrease foreign materials adhering to the semiconductor substrate and errors in handling the semiconductor substrate.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: June 24, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Yoshiaki Kobayashi
  • Patent number: 7387988
    Abstract: A thinner composition includes propylene glycol ether acetate, methyl 2-hydroxy-2-methyl propionate, and an ester compound such as ethyl lactate, ethyl 3-ethoxy propionate or a mixture thereof.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: June 17, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hyun Ahn, Eun-Mi Bae, Baik-Soon Choi, Sang-Mun Chon, Dae-Joung Kim, Kwang-Sub Yoon, Sang-Kyu Park, Jae-Ho Kim, Shi-Yong Yi, Kyoung-Mi Kim, Yeu-Young Youn
  • Patent number: 7387868
    Abstract: A method of passivating silicon-oxide based low-k materials using a supercritical carbon dioxide passivating solution comprising a silylating agent is disclosed. The silylating agent is preferably an organosilicon compound comprising organo-groups with five carbon atoms such as hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS) and combinations thereof. In accordance with further embodiments of the invention, a post ash substrate comprising a dielectric material is simultaneously cleaned and passivated using a supercritical carbon dioxide cleaning solution.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: June 17, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Gunilla Jacobson, Deborah Yellowaga
  • Patent number: 7387989
    Abstract: An AlxGayIn1-x-yN substrate in which particles having a grain size of at least 0.2 ?m on a surface of the AlxGayIn1-x-yN substrate are at most 20 in number when a diameter of the AlxGayIn1-x-yN substrate is two inches, and a cleaning method with which the AlxGayIn1-x-yN substrate can be obtained are provided. Further, an AlxGayIn1-x-yN substrate in which, in a photoelectron spectrum of a surface of the AlxGayIn1-x-yN substrate by X-ray photoelectron spectroscopy with a detection angle of 10°, a ratio between a peak area of C1s electrons and a peak area of N1s electrons (C1s electron peak area/N1s electron peak area) is at most 3, and a cleaning method with which the AlxGayIn1-x-yN substrate can be obtained are provided.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: June 17, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Tomoki Uemura, Keiji Ishibashi, Shinsuke Fujiwara, Hideaki Nakahata
  • Patent number: 7387130
    Abstract: A composition for removing resists and etching residue from substrates containing at least one nucleophilic amine compound having oxidation and reduction potentials, at least one organic solvent, water and, optionally, a chelating agent is described. The chelating agent is preferred to be included since it provides added stability and activity to the cleaning composition so that the composition has long term effectiveness. If a chelating agent is not present, the composition, while providing for adequate stripping and cleaning upon initial use of the composition following mixing, has only short term stability. In this latter instance, the nucleophilic amine compound and organic solvent components of the composition preferably are maintained separate from each other until it is desired to use the composition. Thereafter, the components are combined.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: June 17, 2008
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Charles U. Pittman, Jr., Robert J. Small
  • Patent number: 7384900
    Abstract: A composition for removing a copper-compatible resist includes about 10 to 30% by weight of an amine compound solvent, the amine compound solvent including an alkanol amine, about 10 to 80% by weight of a glycol group solvent, about 9.5 to 80% by weight of a polar solvent, and about 0.5 to 10% by weight of a corrosion inhibitor.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: June 10, 2008
    Assignee: LG Display Co., Ltd.
    Inventors: Gyoo-Chul Jo, Gee-Sung Chae, Oh-Nam Kwon, Kyoung-Mook Lee, Yong-Sup Hwang, Seong-Bae Kim, Suk-Chang Jang, Suk-ll Yoon