With Plural Etching Zones For A Single Discrete Workpiece In Apparatus Patents (Class 156/345.22)
  • Patent number: 11721565
    Abstract: A multi-chamber apparatus for processing a wafer, the apparatus including a high etch rate chamber to receive the wafer and to etch silicon nitride with a phosphoric acid solution; a rinse chamber to receive the wafer and to clean the wafer with an ammonia mixed solution; and a supercritical drying chamber to dry the wafer with a supercritical fluid.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: August 8, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong Jun Choi, Seok Hoon Kim, Young-Hoo Kim, In Gi Kim, Sung Hyun Park, Seung Min Shin, Kun Tack Lee, Jinwoo Lee, Hun Jae Jang, Ji Hoon Cha
  • Patent number: 11513378
    Abstract: The present application discloses a display panel manufacturing device and a cleaning method. The display panel manufacturing device includes: a machine table, a working pipeline, a gas supply means and a liquid supply means; a detection means is provided on the machine table; a first automatic valve is provided on a gas supply pipeline; and a second automatic valve is provided on a liquid supply pipeline.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: November 29, 2022
    Assignees: HKC CORPORATION, CHONGQING HKC OPTOELECTRONIC TECHNOLOGY., LTD.
    Inventor: Chongyang Liu
  • Patent number: 10816895
    Abstract: Methods of cleaning a photomask may include heating residual coupling material on a surface of the photomask. The photomask may be characterized by active regions and edge regions. The residual coupling material may be located on portions of the edge regions of the photomask. The methods may include applying an etchant to the residual coupling material. The methods may also include rinsing the etchant from the photomask. A portion of the active regions of the photomask may be maintained substantially free of the etchant during the method.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: October 27, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Bruce Fender, Jerry D. Leonhard
  • Patent number: 10546722
    Abstract: Systems and methods are disclosed by which patterns of various materials can be formed on flexible substrates by a continuous roll-to-roll manufacturing process. The patterns may include metallic, transparent conductive, or non-metallic elements with lateral dimensions including in the range from below 100 nanometers to millimeters and with thickness dimensions including the range from tens of Angstroms to greater than 10,000 Angstroms. The substrate may be any material capable of sufficient flexibility for compatibility with roll-based processing equipment, including polymeric films, metallic foils, and thin glass, with polymeric films representing a particularly broad field of application. Methods may include the continuous roll-to-roll formation of a temporary polymeric structure with selected areas open to the underlying substrate, the continuous addition or subtraction of constituent materials, and the continuous removal, where necessary, of the polymeric structure and any excess material.
    Type: Grant
    Filed: April 4, 2016
    Date of Patent: January 28, 2020
    Assignee: MicroContinuum, Inc.
    Inventor: W. Dennis Slafer
  • Patent number: 9199285
    Abstract: A substrate cleaning system including a carrying unit having a plurality of rollers for carrying a substrate, wherein each of the rollers includes a roller shaft and a plurality of division rollers coupled to the roller shaft, and wherein a gap between adjacent ones of the roller shafts is larger than a radius of each of the division rollers; a first rinse unit located along the carrying unit and configured to apply a first cleaning liquid onto the substrate; and a cleaning unit comprising a slit nozzle and configured to apply a second cleaning liquid to the substrate after it encounters the first rinse unit.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: December 1, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Beung-Hwa Jeong, Kwang-Nam Kim
  • Patent number: 9017567
    Abstract: A chemical treatment apparatus and a method for performing a chemical treatment of a wafer, etc., by supplying a chemical via a cell. The apparatus includes a cylindrical inner cell and a cylindrical outer cell with open ends disposed at an outer circumference of the inner cell. The outer cell is axially movable to vary the width of a slit formed between a bottom end of the outer cell and a top surface of the substrate-holding means by the axial movement, thereby adjusting the discharge rate of the chemical and varying the pressure of the chemical.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: April 28, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yoshiaki Tomari
  • Patent number: 8951383
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: February 10, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Publication number: 20150031214
    Abstract: A chemical fluid processing apparatus and a chemical fluid processing method are described, to treat a substrate with a plurality of chemical fluids such that substantially constant temperature is maintained across a substrate surface. The apparatus includes a discharge nozzle above the substrate to supply a first chemical fluid at a first temperature to a front surface of the substrate, a bar nozzle oriented in a radial direction of the substrate to supply a second chemical fluid at a second temperature to the front surface or a back surface of the substrate, the second temperature being higher than the first temperature, and where the bar nozzle includes a plurality of outlets for discharging the second chemical fluid to a plurality of contacting places on the front surface or the back surface of the substrate at different distances from the center of the substrate.
    Type: Application
    Filed: July 23, 2014
    Publication date: January 29, 2015
    Inventors: Derek W Bassett, Wallace P Printz, Gentaro Goshi, Hisashi Kawano, Yoshihiro Kai
  • Patent number: 8926788
    Abstract: An improved design for a closed chamber process module for single wafer wet processing utilizes a combination lid and gas showerhead for sealing the chamber from above. One or more media arms dispense liquid onto a wafer in the chamber. The media arms are mounted inside the chamber but are connected by a linkage that passes through the chamber wall to a drive unit mounted outside the chamber.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: January 6, 2015
    Assignee: Lam Research AG
    Inventor: Karl-Heinz Hohenwarter
  • Publication number: 20140363976
    Abstract: A substrate processing method is performed to improve surface roughness of a pattern mask formed on a substrate by being exposed and developed. The method includes supplying a first solvent in a gaseous state to a surface of the substrate to dissolve the pattern mask, and supplying a second solvent to the surface of the substrate, which is supplied with the first solvent, to dissolve the pattern mask, wherein a permeability of the second solvent is lower than a permeability of the first solvent.
    Type: Application
    Filed: June 6, 2014
    Publication date: December 11, 2014
    Inventors: Yuichiro MIYATA, Keiichi TANAKA, Kenichi UEDA, Takahiro SHIOZAWA
  • Patent number: 8776717
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: July 15, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Thomas R. Boussie, Thomas H. McWaid, Alexander Gorer
  • Patent number: 8770143
    Abstract: The various embodiments of the invention provide for relative movement of the substrate and a process head to access the entire wafer in a minimal space to conduct combinatorial processing on various regions of the substrate. The heads enable site isolated processing within the chamber described and method of using the same are described.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: July 8, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao
  • Patent number: 8632854
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: January 21, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Publication number: 20130247967
    Abstract: Methods of fabricating solar cells and apparatuses for fabricating solar cells are described. In an example, a method of fabricating a solar cell includes treating a light-receiving surface of a substrate with a gaseous ozone (O3) process. Subsequently, the light-receiving surface of the substrate is texturized.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 26, 2013
    Inventor: Scott Harrington
  • Patent number: 8512473
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: August 20, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Patent number: 8460468
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: June 11, 2013
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Alexander Piechulla, Claus Rade, Robert Michael Hartung
  • Patent number: 8435350
    Abstract: A device for supplying a large number of consumer stations with a predetermined amount of a process medium, in particular a coating device for containers, has a supply line for the process medium and a connection at the consumer station. In order to make such a device simpler from the structural point of view and less expensive, a unit is used, which keeps a predetermined flow rate constant and which comprises a capillary path extending before each connection and dimensioned in accordance with the predetermined amount of process medium, and a unit which is associated with a plurality of connections and which is used for maintaining a defined flow velocity along the capillary path.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: May 7, 2013
    Assignee: Krones AG
    Inventors: Heinz Humele, Andreas Kursawe, Andreas Kraus, Jochen Krueger, John Felts
  • Patent number: 8398812
    Abstract: A substrate treating apparatus for stripping photoresist on a substrate includes a support part for supporting the substrate, a dry-type treating part for stripping the photoresist on the substrate, and a wet-type treating part for stripping the photoresist on the substrate. While the substrate is supported by the support part, the photoresist on the substrate is primarily stripped by means of the dry-type treating part and secondarily stripped by means of the wet-type treating part. The dry-type treating part includes a plasma supply unit configured to supply plasma onto the substrate and a moving unit configured to vary a relative position of the plasma supply unit and the substrate.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 19, 2013
    Assignee: Semes Co. Ltd.
    Inventors: Yi Jung Kim, Kyung Jin Seo, Chang Ro Yoon, Jung Keun Cho
  • Patent number: 8377252
    Abstract: The present invention relates to an apparatus for spraying an etchant and a method for manufacturing a printed circuit board. In one exemplary embodiment the apparatus includes a manifold, a plurality of feed pipes in fluid communication with the manifold, each of the feed pipes having a plurality of spray nozzles mounted thereon, the feed pipes cooperatively constitute a spray region, and a pressure-boosting device configured for increasing a spray pressure of the spray nozzles which are located at a central area of the spray region. The apparatus can overcome “the puddle effect” on an upper surface of the printed circuit board.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: February 19, 2013
    Assignee: Zhen Ding Technology Co., Ltd.
    Inventors: Wen-Chin Lee, Cheng-Hsien Lin
  • Patent number: 8267041
    Abstract: A plasma treating apparatus adapted to provide a predetermined plasma treatment to an object W to be treated comprises a processing chamber 12 configured to be capable of being vacuumed, an object holding means 20 adapted to hold the object to be treated, a high frequency power source 58 adapted to generate high frequency voltage, a plasma gas supplying means 38 adapted to supply a plasma generating gas to be treated to generate plasma to the processing chamber, a pair of plasma electrodes 56, 56B connected to the output side of the high frequency power source via wirings 60 to generate plasma in the processing chamber, the pair of plasma electrodes being brought into an excited electrode state. In addition, a high frequency matching means 72 is provided in the middle of the wirings. In this case, each of the plasma electrodes 56A, 56B is not grounded. Thus, the plasma density can be increased, and the efficiency of generating plasma can be enhanced.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Toshiji Abe, Toshiki Takahashi, Hiroyuki Matsuura
  • Patent number: 8257498
    Abstract: A substrate transfer module that can prevent corrosion of components, adhesion of particles to the substrate, and increases in the manufacturing cost and the size of the substrate transfer module. A substrate transfer module is connected to a substrate processing module. The substrate processing module implements desired processing on a substrate. A substrate transfer device transfers a substrate and includes a holding unit and a moving unit. The holding unit holds the substrate, and the moving unit moves the holding unit. A transfer chamber houses the substrate transfer device in an interior thereof that is isolated from an external atmosphere. An isolation device isolates at least the holding unit and the substrate held by the holding unit from an interior atmosphere of the transfer chamber.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: September 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jun Yamawaku, Tsuyoshi Moriya
  • Patent number: 8173451
    Abstract: Provided is a system for measuring an etch stage of an etch process involving one or more layers in a substrate, the etch stage measurement system configured to meet two or more etch stage measurement objectives. The system includes an etch process tool, the etch process tool having an etch chamber, a controller, and process parameters. The etch process tool is coupled to two or more optical metrology devices and at least one etch sensor device measuring an etch process parameter with high correlation to the etch stage. The processor is coupled to the etch process tool and is configured to extract an etch measurement value using a correlation of etch stage measurements to actual etch stage data and etch stage measurement obtained from the two or more metrology devices and the at least one etch process sensor device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga
  • Patent number: 8070909
    Abstract: A method of controlling surface non-uniformity of a wafer in a polishing operation includes (a) providing a model for a wafer polishing that defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step of a polishing process for each of the regions, wherein the polishing process comprises a plurality of polishing steps, (b) polishing a wafer using a first polishing recipe based upon an incoming wafer thickness profile, (c) determining a wafer thickness profile for the post-polished wafer of step (b), and (d) calculating an updated polishing recipe based upon the wafer thickness profile of step (c) and the model of step (a) to maintain a target wafer thickness profile. The model can information about the tool state to improve the model quality. The method can be used to provide feedback to a plurality of platen stations.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: December 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Arulkumar P. Shanmugasundram, Alexander T. Schwarm, Gopalakrishna B. Prabhu
  • Patent number: 8021512
    Abstract: An apparatus, system and method for preventing premature drying of a surface of a substrate between fabrication operations includes receiving a substrate for cleaning, performing wet cleaning operations to the surface of the substrate to remove contaminants and fabrication chemistries left behind during one or more fabrication operations from the surface of the substrate, identifying a saturated gas chemistry and applying the identified saturated gas chemistry in a transition region such that the surface of the substrate exposed to the saturated gas chemistry in the transition region retains the moisture thereby preventing the surface of the substrate from premature drying. The saturated gas chemistry is applied between two subsequent wet-cleaning operations.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: September 20, 2011
    Assignee: Lam Research Corporation
    Inventors: Seokmin Yun, Mark Wilcoxson
  • Patent number: 8011317
    Abstract: An integrated processing tool is described comprising a full-wafer processing module and a combinatorial processing module. Chemicals for use in the combinatorial processing module are fed from a delivery system including a set of first manifolds. An output of each first manifold is coupled to at least one mixing vessel. An output of each mixing vessel feeds more than one of a set of second manifolds. An output of each set of second manifolds feeds one of multiple site-isolated reactors of the combinatorial processing module.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: September 6, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Kurt H. Weiner, Tony P. Chiang, Aaron Francis, John Schmidt
  • Patent number: 7976635
    Abstract: One embodiment relates to a loadlock having a first support structure therein to support one unprocessed substrate and a second support structure therein to support one processed substrate. The first support structure is located above the second support structure. The loadlock includes an elevator to control the vertical position of the support structures. The loadlock also includes a first aperture to permit insertion of an unprocessed substrate into the loadlock and removal of a processed substrate from the loadlock, as well as a second aperture to permit removal of an unprocessed substrate from the loadlock and insertion of a processed substrate into the loadlock. A cooling plate is also located in the loadlock. The cooling plate includes a surface adapted to support a processed substrate thereon. A heating device may be located in the loadlock above the first support structure.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Akihiro Hosokawa
  • Publication number: 20110083807
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Application
    Filed: December 21, 2010
    Publication date: April 14, 2011
    Inventors: Hyo-san Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Patent number: 7857939
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Patent number: 7850817
    Abstract: A polishing apparatus has a plurality of polishing units. Moving mechanisms for moving top rings between polishing positions on polishing surfaces and wafer receiving/delivering positions are provided in each of the polishing units. Linear transporters are provided for transferring a wafer between a plurality of transferring positions including the wafer receiving/delivering positions. Pushers for receiving and delivering the wafer between the linear transporters and the top rings are provided at the transferring positions as the wafer receiving/delivering positions.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: December 14, 2010
    Assignee: Ebara Corporation
    Inventors: Satoshi Wakabayashi, Tetsuji Togawa, Ryuichi Kosuge, Koji Ato, Hiroshi Sotozaki
  • Patent number: 7837799
    Abstract: An arrangement for transporting a flat substrate through a coating installation, wherein the coating installation comprises, e.g., several and different sputter cathodes, to which the flat substrate, for example a glass pane, is transported one after the other in vacuo. So that no abrasion is generated between glass pane and contact, the glass pane is kept spaced apart from the contact by means of gas pressure. The gas pressure is herein built up through relatively few and small holes in a gas channel. Since during flooding of the coating installation to atmospheric pressure or during evacuation, due to the small holes, no fast pressure equalization between gas channel and the remaining coating installation is possible, the gas channel is decoupled in terms of gas from the remaining coating installation and provided with a separate gas line, via which gas can be introduced into the gas channel or pumped out of it.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: November 23, 2010
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Stefan Bangert, Frank Fuchs, Uwe Schuessler, Ralph Lindenberg, Tobias Stolley
  • Patent number: 7794546
    Abstract: A method, computer readable medium, and system for treating a substrate in a process space of a processing system that is vacuum isolated from a transfer space of the processing system is described. A sealing device is disposed between a first chamber assembly configured to define the process space and a second chamber assembly configured to define the transfer space. When the sealing device is engaged, vacuum isolation is provided between the process space and the transfer space. The sealing device comprises two or more contact ridges with one or more pockets formed therebetween. When the sealing device is engaged between the first chamber assembly and the second chamber assembly, gas is trapped in the one or more pockets. This trapped gas assists the release of the sealing device upon disengagement of the sealing device between the first chamber assembly and the second chamber assembly.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yicheng Li
  • Patent number: 7771563
    Abstract: A system and method for processing substrates that achieves isothermal and uniform fluid flow processing conditions for a plurality of substrates. In one aspect, the invention is a system and method that utilizes matching the emissivity value of the surfaces of a process chamber that oppose exposed surfaces of the substrates with the emissivity value of the exposed surfaces to achieve isothermal conditions throughout a substrate stack. In another aspect, the invention is system and method of processing substrates in a process chamber that exhibits excellent fluid flow uniformity by eliminating cavities or geometrical irregularities in the process chamber profile due to substrate loading openings. In yet anther aspect, the invention is a system and method of processing substrates wherein the process chamber comprises a liner and a shell, the liner constructed of a highly thermally conductive material, such as carbon, and the shell is constructed of a non-porous material, such as stainless steel.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: August 10, 2010
    Assignee: Sumitomo Precision Products Co., Ltd.
    Inventors: Robert W. Grant, Benjamin J. Petrone, Paul D. Mumbauer
  • Patent number: 7674350
    Abstract: A method for manufacturing a semiconductor device is disclosed including determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension. A system is also disclosed for manufacturing a semiconductor device including a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: March 9, 2010
    Assignee: Infineon Technologies AG
    Inventors: Haoren Zhuang, Alois Gutmann, Matthias Lipinski, Chandrasekhar Sarma, Jingyu Lian
  • Patent number: 7655092
    Abstract: The present invention provides an apparatus for vacuum processing generally comprising an enclosure having a plurality of isolated chambers formed therein, a gas distribution assembly disposed in each processing chamber, a gas source connected to the plurality of isolated chambers, and a power supply connected to each gas distribution assembly.
    Type: Grant
    Filed: October 6, 2003
    Date of Patent: February 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Fairbairn, Jessica Barzilai, Hari K. Ponnekanti, W. N. (Nick) Taylor
  • Patent number: 7632376
    Abstract: An apparatus for processing a substrate is provided which includes a first process window configured to apply a first fluid meniscus between the first process window and a surface of the substrate. The apparatus further includes a second process window configured to generate a second fluid meniscus between the second process window and the surface of the substrate. The apparatus further includes a third process window configured to generate a third fluid meniscus between the third process window and the surface of the substrate. The apparatus is configured to apply the first fluid meniscus, the second fluid meniscus, and the third fluid meniscus to the surface of the substrate in order during an atomic layer deposition operation.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: December 15, 2009
    Assignee: Lam Research Corporation
    Inventors: Mike Ravkin, Mikhail Korolik, Mark Wilcoxson
  • Publication number: 20090186488
    Abstract: A single wafer etching apparatus is an apparatus that supplies etching liquid to an upper face of a thin discoid wafer obtained by slicing a semiconductor ingot while rotating the wafer to etch the upper face and an edge face of the wafer. The apparatus includes: a first nozzle for supplying etching liquid to the upper face of the wafer; and a second nozzle for supplying etching liquid to the edge face of the wafer that is opposed to the edge face of the wafer. The second nozzle is fixed at a predetermined position in a range of ?10 mm to 20 mm from an end of an outer periphery of the wafer toward an inner side of the wafer in the radial direction. The apparatus includes a lower face blowing mechanism by which etching liquid flowing along the edge face of the wafer is blown off by gas jet toward an outer side in the radial direction of the wafer.
    Type: Application
    Filed: February 29, 2008
    Publication date: July 23, 2009
    Inventors: KATOH Takeo, Hashii Tomohiro, Murayama Katsuhiko, Koyata Sakae, Takaishi Kazushige
  • Patent number: 7427333
    Abstract: In an inventive resist removing method, sulfuric acid and hydrogen peroxide water are supplied to a surface of a substrate to remove a resist from the substrate surface. Thereafter, hydrogen peroxide water is supplied to the substrate surface to remove the sulfuric acid from the substrate surface.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: September 23, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Masayuki Wada
  • Patent number: 7371306
    Abstract: An integrated tool that enables wet chemical processing chambers, lift-rotate units and other hardware to be quickly interchanged without having to recalibrate the transport system or other components to the replacement items. These tools are expected to reduce the down time associated with repairing or maintaining processing chambers and/or lift-rotate units so that the tools can maintain a high throughput. Several aspects of these tools are particularly useful for applications that have stringent performance requirements because components are more likely to require maintenance more frequently, and reducing the down time associated with maintaining such components will significantly enhance the integrated tool.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: May 13, 2008
    Assignee: Semitool, Inc.
    Inventors: Jeffry Alan Davis, Randy A. Harris
  • Patent number: 7329115
    Abstract: A nanoimprint mold is described, comprising a plurality of alternating layers of distinct materials differentially etched along an edge thereof, said layers having spatially varying thicknesses along said edge such that nanolines patterned with said nanoimprint mold have corresponding spatially varying pitches.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: February 12, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Shih-Yuan Wang, M. Saif Islam
  • Publication number: 20070277861
    Abstract: A wafer thinning apparatus for treating wafers each having at least a circuit-forming surface thereof protected, by immersing the wafers in a treating solution. The apparatus includes a support table for receiving, as placed thereon, containers each containing a plurality of wafers in one of groups into which the wafers are sorted according to predetermined ranges of thickness, a treating tank for storing the treating solution and receiving the containers, a transport mechanism for transporting the containers between the support table and the treating tank, and a control unit for controlling the transport mechanism to transport the containers successively to the treating tank, and for changing an immersion time of the containers in the treating tank for each group.
    Type: Application
    Filed: May 18, 2007
    Publication date: December 6, 2007
    Inventors: Toshio Hiroe, Kenichiro Arai
  • Patent number: 7198694
    Abstract: An integrated tool and automatic calibration systems that enable wet chemical processing chambers, lift-rotate units and other hardware to be quickly interchanged without having to recalibrate the transport system or other components to the replacement items. These tools are expected to reduce the down time associated with repairing or maintaining processing chambers and/or lift-rotate units so that the tools can maintain a high throughput. Several aspects of these tools are particularly useful for applications that have stringent performance requirements because components are more likely to require maintenance more frequently, and reducing the down time associated with maintaining such components will significantly enhance the integrated tool.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: April 3, 2007
    Assignee: Semitool, Inc.
    Inventors: Daniel J. Woodruff, Jeffry Alan Davis, Randy A. Harris, David P. Mattson, James J. Erickson, Matthew C. Egloff
  • Patent number: 7166184
    Abstract: A multi-stage type processing apparatus which can be positioned in a limited space without having a complicated driving mechanism, includes processing units which are stacked in a multi-stage state in the vertical direction. Each processing unit has a cup surrounding a substrate and a chuck for retaining and rotating a substrate, and the cup can be elevated and lowered with respect to the chuck. A cylinder unit is contracted and thereby all the cups are unitarily lowered, so that the top surface of the chuck is located in a slightly upper position with respect to the top surface of the cup. In this state, a substrate is mounted on the chuck and attracted. Next, the cylinder unit is extended and thereby all the cups are unitarily elevated so as to accommodate the substrate therein.
    Type: Grant
    Filed: February 16, 2004
    Date of Patent: January 23, 2007
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Akihiko Nakamura, Taiichiro Aoki, Seiji Ohishi, Tamotsu Sasaki
  • Patent number: 7105100
    Abstract: A system and method for distributing gas to a substrate in a dry etch chamber make use of different flow channels to distribute the gas to different portions of a substrate. A first flow channel can be oriented to distribute gas to an inner portion of the substrate. A second flow channel can be oriented to distribute gas to an outer portion of the substrate. With different flow channels, the system and method enable separate control of gas distribution for different portions of the substrate. In particular, the flow channels allow separate control of gas flow rate, concentration, and flow time for different areas of the substrate. In this manner, gas distribution can be selectively controlled to compensate for different etch rates across the substrate surface. Also, gas distribution can be controlled as a function of etch rate patterns exhibited by different etch gasses used in successive process steps.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: September 12, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Haruhiro H. Goto
  • Patent number: 7052575
    Abstract: A system for regulating an etch process is provided. The system includes one or more light sources, each light source directing light to one or more features and/or gratings on a wafer. Light reflected from the features and/or gratings is collected by a measuring system, which processes the collected light. The collected light is indicative of the dimensions achieved at respective portions of the wafer. The measuring system provides etching related data to a processor that determines the acceptability of the etching of the respective portions of the wafer. The system also includes one or more etching devices, each such device corresponding to a portion of the wafer and providing for the etching thereof. The processor selectively controls the etching devices to regulate etching of the portions of the wafer.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: May 30, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Bhanwar Singh, Ramkumar Subramanian
  • Patent number: 7018504
    Abstract: A wafer carrier adapted to hold a plurality of wafers and is positioned on an elevator plate in a load lock. The elevator plate is adapted to move between a first position with the carrier in a first chamber of the load lock and a second position with the carrier in the auxiliary chamber. In the second position, the elevator plate substantially seals the auxiliary chamber from the first chamber. In use, a first wafer is placed onto the wafer carrier. The wafer carrier can moved into the auxiliary chamber before or after the first wafer is placed onto the wafer carrier. The first wafer is auxiliary processed in the auxiliary chamber. A second wafer is placed onto the wafer carrier. Preferably after the second wafer is placed onto the wafer carrier, the first wafer is removed from the load lock. A third wafer is preferably then placed onto the wafer carrier so that the second wafer can cool. The second wafer is then removed from the load lock. The cycle is repeated.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: March 28, 2006
    Assignee: ASM America, Inc.
    Inventors: Ivo Raaijmakers, Ravinder Aggarwal, James Kusbel
  • Patent number: 6921466
    Abstract: A revolution member supporting apparatus holds and rotates a disc-shaped object (object to be rotated) such as a semiconductor wafer. The revolution member supporting apparatus includes a rotatable member which rotates about an axis of roation, and a plurality of holding members which are disposed along a circle having a center corresponding to the axis of rotation of the rotatable member, and which revolve around the axis of rotation when the rotatable member rotates, wherein the holding members are allowed to swing about their own central axes.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: July 26, 2005
    Assignee: Ebara Corporation
    Inventors: Akihisa Hongo, Ichiro Katakabe, Shinya Morisawa
  • Patent number: 6841031
    Abstract: With respect to any one of processing units, a main transportation path, a developing unit, a dedicated transportation robot and a high-pressure processing unit are disposed linearly in this order in a direction. Hence, even if a processing fluid adhering to a substrate or an evaporant of the processing fluid moves toward the main transportation path while the high-pressure processing unit transports the substrate wet with the processing fluid, there are the processing units located which the processing fluid or its evaporant must arrive at before reaching the main transportation path.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: January 11, 2005
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Tomomi Iwata, Yusuke Muraoka, Kimitsugu Saito, Ikuo Mizobata, Takashi Miyake, Ryuji Kitakado
  • Patent number: 6689691
    Abstract: The method allows simultaneous polishing of a plurality of objects of a similar type, preferably silicon wafers. The polishing process is interrupted briefly at least once. During the polishing pause, the carriers on which the objects to be polished have been mounted are rotated onward sequentially and then the polishing operation is continued. The objects are mounted on one or more carriers which are at a free position during the polishing operation. This has the advantage that the polishing operation does not have to be additionally interrupted for the purpose of loading and unloading the carrier. This saves valuable machine time, so that the throughput is increased. At the same time, the fact that each wafer is processed at a plurality of polishing plates stabilizes the result of polishing, since the individual abrasion properties of the individual polishing plates are averaged out.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: February 10, 2004
    Assignee: Infineon Technologies AG
    Inventor: Peter Lahnor
  • Patent number: 6666948
    Abstract: An method and apparatus for forming wafers of varying thickness'. The apparatus includes a template. The template is formed of a main disk including a plurality of cavities extending into a first side thereof and a backing plate positioned on a side of the main disk opposite the first side. Holding disks are moistened and positioned within respective cavities for releasably securing a wafer in the cavity. When the template is releasably secured to and rotatable with a rotating head and positioned such that the first side faces a lapping and polishing surface, wafers received by the cavities are lapped and polished upon rotation of the rotating head. A plurality of shims are selectively received within respective cavities between a base of the cavity and the holding disk for adjusting a depth of the cavity thereby adjusting an amount of a wafer to be lapped and polished. The shims have varying thickness' and are color coated, each color being representative of a predetermined thickness for the shim.
    Type: Grant
    Filed: July 18, 2001
    Date of Patent: December 23, 2003
    Inventor: Phuong Van Nguyen
  • Publication number: 20030230384
    Abstract: A wafer transfer robot for a wafer processing system, such as a wet bench system, and a method for utilizing the robot. The wafer transfer robot can be constructed by a robot arm that is equipped with a plurality of wafer blades each adapted for picking-up and carrying one of a plurality of wafers. The plurality of wafer blades each has a predetermined thickness, a top surface, a bottom surface and a predetermined spacing from adjacent wafer blades. A plurality of sensors, such as optical sensors, capacitance sensors or magnetic sensors, with at least one mounted on the bottom side of one of the plurality of wafer blades for sensing the presence of metal on a wafer carried on an adjacent wafer blade immediately below the one of the plurality of wafer blades.
    Type: Application
    Filed: June 14, 2002
    Publication date: December 18, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Sheng Su, Chiang-Jen Peng, Pin-Chia Su, Wen-Lang Wu