For Endpoint Detection Patents (Class 156/345.25)
  • Publication number: 20130137195
    Abstract: A method of etching the whole width of a substrate to expose buried features is disclosed. The method includes etching a face of a substrate across its width to achieve substantially uniform removal of material; illuminating the etched face during the etch process; applying edge detection techniques to light reflected or scattered from the face to detect the appearances of buried features; and modifying the etch in response to the detection of the buried feature. An etching apparatus for etching substrate across its width to expose buried is also disclosed.
    Type: Application
    Filed: November 12, 2012
    Publication date: May 30, 2013
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventor: SPTS TECHNOLOGIES LIMITED
  • Publication number: 20130133832
    Abstract: The simulation method is for predicting a damage amount due to ultraviolet rays in manufacturing a semiconductor device.
    Type: Application
    Filed: November 13, 2012
    Publication date: May 30, 2013
    Applicant: Sony Corporation
    Inventor: Sony Corporation
  • Publication number: 20130130413
    Abstract: An endpoint detection method includes processing an outer surface of a substrate, directing an incident light beam through a window in an opaque metal body onto the surface being processed, receiving at a detector a reflected light beam from the substrate and generating a signal from the detector, and generating a signal based on the reflected light beam received at the detector, and detecting a processing endpoint. The signal is a time-varying cyclic signal that varies as the thickness of the layer varies over time, and detecting the processing endpoint includes detecting that a portion of a cycle of the cyclic signal has passed, the portion being less than a full cycle of the cyclic signal.
    Type: Application
    Filed: January 18, 2013
    Publication date: May 23, 2013
    Inventors: Manoocher Birang, Nils Johansson, Allan Gleason
  • Publication number: 20130052754
    Abstract: A vapor growth method includes: loading a wafer into a reaction chamber and placing the wafer on a support unit; heating the wafer with a heater provided below the support unit and controlling an output of the heater so that the wafer reaches a predetermined temperature; rotating the wafer and supplying process gas onto the wafer, thereby forming a film on the wafer; unloading the wafer from the reaction chamber; supplying etching gas into the reaction chamber and removing a reaction product deposited inside the reaction chamber by etching; and detecting an etching end point based on variation in a first temperature, which is a temperature on the support unit when the output of the heater is controlled to have a predetermined amount, or variation in the output of the heater, which is controlled so that the first temperature reaches a predetermined temperature.
    Type: Application
    Filed: August 29, 2012
    Publication date: February 28, 2013
    Inventors: Kouki ZAITSU, Yuusuke SATO
  • Patent number: 8377253
    Abstract: In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber 107 from which the atmosphere inside is evacuated. Etching gas is input into the main chamber 107 for a first period of time. Thereafter, the etching gas is evacuated from the main chamber 107 and cooling/purging gas is input into the main chamber for a second interval of time. Thereafter, the cooling/purging gas is evacuated from the main chamber 107. Desirably, the steps of inputting the etching gas into the main chamber 107 for the first period of time, evacuating the etching gas from the main chamber, inputting the cooling/purging gas into the main chamber 107 for the second period of time, and evacuating the cooling/purging gas from the main chamber are repeated until samples have been etched to a desired extent.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: February 19, 2013
    Assignee: Xactix, Inc.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Publication number: 20130034918
    Abstract: According to the invention, a monitoring device (12) is created for monitoring a thinning of at least one semiconductor wafer (4) in a wet etching unit (5), wherein the monitoring device (12) comprises a light source (14), which is designed to emit coherent light of a light wave band for which the semiconductor wafer (4) is optically transparent. The monitoring device (12) further comprises a measuring head (13), which is arranged contact-free with respect to a surface of the semiconductor wafer (4) to be etched, wherein the measuring head (13) is designed to irradiate the semiconductor wafer (4) with the coherent light of the light wave band and to receive radiation (16) reflected by the semiconductor wafer (4). Moreover, the monitoring device (12) comprises a spectrometer (17) and a beam splitter, via which the coherent light of the light wave band is directed to the measuring head (13) and the reflected radiation is directed to the spectrometer (17).
    Type: Application
    Filed: January 10, 2011
    Publication date: February 7, 2013
    Applicants: DUSEMUND PTE. LTD, PRECITEC OPTRONIC GMBH
    Inventors: Claus Dusemund, Martin Schoenleber, Berthold Michelt, Christoph Dietz
  • Patent number: 8343305
    Abstract: Apparatus and methods for diagnosing status of a consumable part of a plasma reaction chamber, the consumable part including at least one conductive element embedded therein. The method includes the steps of: coupling the conductive element to a power supply so that a bias potential relative to the ground is applied to the conductive element; exposing the consumable part to plasma erosion until the conductive element draws a current from the plasma upon exposure of the conductive element to the plasma; measuring the current; and evaluating a degree of erosion of the consumable part due to the plasma based on the measured current.
    Type: Grant
    Filed: September 4, 2007
    Date of Patent: January 1, 2013
    Assignee: Lam Research Corporation
    Inventor: Roger Patrick
  • Patent number: 8343306
    Abstract: A plasma processing apparatus can prevent a sheath from becoming distorted, simplify a configuration of the apparatus, and prevent particles from attaching to a substrate. The plasma processing apparatus performs plasma processing on the substrate. A housing chamber houses the substrate. A mounting stage is disposed within the housing chamber and mounted with the substrate. An annular member is disposed in the mounting stage. A power supply unit supplies high-frequency power to the mounting stage. An observation unit optically observes the distribution of the plasma. A voltage applying unit applies a DC voltage to the annular member. A control unit sets the value of the DC voltage to be applied based on the observed plasma distribution.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Tanaka, Chishio Koshimizu, Manabu Iwata, Naoki Matsumoto, Toru Ito
  • Publication number: 20120291952
    Abstract: A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
    Type: Application
    Filed: August 2, 2012
    Publication date: November 22, 2012
    Inventors: Matthew Fenton Davis, John M. Yamartino, Lei Lian
  • Publication number: 20120288969
    Abstract: An etching apparatus includes a process unit and a control unit. Emission intensity of plasma inside the process unit is obtained by an OES detector, a nonlinear regression analysis is performed by an etching control device to determine a regression formula. The nonlinear regression analysis is performed by using the emission intensity of the plasma obtained until a first time when the emission intensity of the plasma passes a peak, and a second time to be an etching end point is calculated by using the regression formula. The etching end point is calculated as a time when the emission intensity decreases for a predetermined value from the first time. The etching apparatus finishes an etching when the process reaches the etching end point. It is thereby possible to control the etching end point with high-accuracy.
    Type: Application
    Filed: May 11, 2012
    Publication date: November 15, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Yoshiyuki Nakao, Kazuo Hashimi
  • Patent number: 8303763
    Abstract: Apparatus and methods are provided for monitoring a pulsed RF bias signal applied to a chuck in a processing chamber. One method includes operations for detecting voltage values of individual pulses of the pulsed RF bias voltage, and for determining the time for sampling the value of each individual detected pulse. At the sampling time for each pulse, a particular voltage value of the respective individual detected pulse is sampled and the particular voltage value is held. Each particular voltage value represents a characteristic peak-to-peak voltage value of each individual detected pulse. A feedback signal representing the characteristic peak-to-peak voltage value for a voltage envelope of one of the individual detected pulses is generated, and the voltage of the pulsed RF bias voltage signal applied to the chuck is adjusted according to a difference between the feedback signal and a desired voltage value of the pulsed RF bias voltage signal.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Andras Kuthi, Stephen Hwang, James C. Vetter, Greg Eilenstine, Rongping Wang, Tuan Ngo
  • Patent number: 8287689
    Abstract: A feeder rod that transmits radio-frequency power via a matcher to a susceptor used in plasma generation that is disposed inside a processing chamber where a wafer undergoes a predetermined type of plasma processing, includes as an integrated part thereof electrical characteristics measurement probes. The integrated feeder rod unit can be detachably installed as a whole between the matcher and the processing chamber.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: October 16, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Sato
  • Patent number: 8277670
    Abstract: A method for etching features in a dielectric layer through a photoresist (PR) mask is provided. The PR mask is patterned using laser light having a wavelength not more than 193 nm. The PR mask is pre-treated with a noble gas plasma, and then a plurality of cycles of a plasma process is provided. Each cycle includes a deposition phase that deposits a deposition layer over the PR mask, the deposition layer covering a top and sidewalls of mask features of the PR mask, and a shaping phase that shapes the deposition layer deposited over the PR mask.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: October 2, 2012
    Assignee: Lam Research Corporation
    Inventors: Dongho Heo, Ji Soo Kim
  • Patent number: 8257546
    Abstract: A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: September 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Fenton Davis, John M. Yamartino, Lei Lian
  • Publication number: 20120164832
    Abstract: Top-down methods of increasing reflectivity of tungsten films to form films having high reflectivity, low resistivity and low roughness are provided. The methods involve bulk deposition of tungsten followed by a removing a top portion of the deposited tungsten. In particular embodiments, removing a top portion of the deposited tungsten involve exposing it to a fluorine-containing plasma. The methods produce low resistivity tungsten bulk layers having lower roughness and higher reflectivity. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. Applications include forming tungsten bit lines.
    Type: Application
    Filed: March 5, 2012
    Publication date: June 28, 2012
    Inventors: Anand CHANDRASHEKAR, Raashina HUMAYUN
  • Publication number: 20120152898
    Abstract: In a supercritical fluid method a supercritical fluid is supplied into a process chamber. The supercritical fluid is discharged from the process chamber as a supercritical fluid process proceeds. A concentration of a target material included in the supercritical fluid discharged from the process chamber is detected during the supercritical fluid process. An end point of the supercritical fluid process may be determined based on a detected concentration of the target material.
    Type: Application
    Filed: December 8, 2011
    Publication date: June 21, 2012
    Inventors: YONG JHIN CHO, Kun-Tack LEE, Hyo-San LEE, Young-Hoo KIM, Jung-Won LEE, Sang-Won BAE, Jung-Min OH
  • Patent number: 8197634
    Abstract: An arrangement is provided for suppressing interference phenomenon on the surface of a sample that deteriorates the detection accuracy upon detecting the time variation of plasma conditions such as plasma space distribution or the processing status of the sample. For example, light scattering element for diffusing and transmitting incident light and a convex lens are arranged on a front stage of an optical fiber light receiving unit connected to a photodetector disposed on an opposite side from the sample for observing the emission of plasma. This serves to prevent the changes in light quantity accompanying the interference effect caused by the changes in thin film thickness on the surface of the sample from reaching the photodetector. An arrangement is also provided to prevent the light scattering element from being directly exposed to the plasma to prevent alteration of the light scattering element.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: June 12, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenetsu Yokogawa, Tatehito Usui
  • Patent number: 8192576
    Abstract: Apparatus and methods are provided to detect and control a voltage potential applied in a plasma chamber for processing a semiconductor wafer. The plasma chamber includes circuitry for monitoring and adjusting a pulsed RF bias voltage signal to be applied to a chuck in the plasma chamber, where the chuck is configured to mount the wafer for processing. The circuitry includes an RF bias voltage detector for detecting individual pulses of the pulsed RF bias voltage signal applied to the chuck. A timing circuit is provided for determining a time for sampling each of the individual detected pulses and a sample and hold circuit.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: June 5, 2012
    Assignee: Lam Research Corporation
    Inventors: Andras Kuthi, Stephen Hwang, James C. Vetter, Greg Eilenstine, Rongping Wang, Tuan Ngo
  • Publication number: 20120132617
    Abstract: A plasma etching apparatus includes a processing container, a depressurization unit, a placement unit, a discharge tube, an introduction waveguide tube, a gas supply unit, a transport tube, a detection window, a coherent light detection unit, and a control unit. The control unit is configured to detect an end point of etching based on an output from the coherent light detection unit. The control unit is configured to use an output from the light receiving devices of a detection region of the coherent light detection unit to extract an output of the light receiving device of a portion of the detection region corresponding to an etching portion to detect the end point of the etching based on an intensity of the coherent light determined from the output of the light receiving device of the portion of the detection region corresponding to the etching portion.
    Type: Application
    Filed: August 5, 2010
    Publication date: May 31, 2012
    Applicant: SHIBAURA MECHATRONICS CORPORATION
    Inventor: Daisuke MATSUSHIMA
  • Patent number: 8173451
    Abstract: Provided is a system for measuring an etch stage of an etch process involving one or more layers in a substrate, the etch stage measurement system configured to meet two or more etch stage measurement objectives. The system includes an etch process tool, the etch process tool having an etch chamber, a controller, and process parameters. The etch process tool is coupled to two or more optical metrology devices and at least one etch sensor device measuring an etch process parameter with high correlation to the etch stage. The processor is coupled to the etch process tool and is configured to extract an etch measurement value using a correlation of etch stage measurements to actual etch stage data and etch stage measurement obtained from the two or more metrology devices and the at least one etch process sensor device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga
  • Publication number: 20120091454
    Abstract: A method for process control is disclosed. The method includes performing an etching process on a semiconductor substrate forming a structure and a test structure having a pattern and a releasing mechanism coupled to the pattern; and monitoring the pattern of the test structure to determine whether the etching process is complete.
    Type: Application
    Filed: October 19, 2010
    Publication date: April 19, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kai-Chih Liang, Wen-Chuan Tai, Chun-Ren Cheng
  • Publication number: 20120085494
    Abstract: A plasma etching apparatus includes a vacuum processing chamber for performing plasma processing on a workpiece, a gas introducer, a high frequency power feeder, a spectroscope, and an arithmetic unit for determining an endpoint of etching of the workpiece. The arithmetic unit includes a regression line computing unit for computing a regression line on the basis of time-sequential data of luminous intensity of a specific wavelength sampled by the spectroscope, a distance computing unit for computing a distance from the time-sequential data to the regression line, a computing unit for calculating a distance in a time-base direction by computing a slope of the regression line, and the distance from the time-sequential data to the regression line, computed by the distance computing unit, and an endpoint determiner for outputting an endpoint determination signal on the basis of the distance in the time-base direction computed by the computing unit.
    Type: Application
    Filed: December 14, 2011
    Publication date: April 12, 2012
    Inventors: Hiroshige Uchida, Daisuke Shiraishi, Shoji Ikuhara, Akira Kagoshima
  • Publication number: 20120018094
    Abstract: A plasma processing apparatus for applying an etching processing to a wafer by using at least two steps of the etching processing which operate with plasma formed within a pressure-reduced processing chamber, the wafer being located within the processing chamber inside a vacuum vessel, and having a mask on a silicon-composed substrate and a film structure, the film structure including processing-target films located under the mask, wherein the plasma processing apparatus is equipped with a function for processing another different wafer in such a manner that a processing condition at a precedent-stage step of the two steps of the etching processing in the processing of the different wafer is adjusted based on a result obtained by detecting a time which has elapsed until termination of a subsequent-stage step of the two steps of the etching processing.
    Type: Application
    Filed: August 16, 2010
    Publication date: January 26, 2012
    Inventors: Daisuke SHIRAISHI, Akira Kagoshima, Satomi Inoue, Shigeru Nakamoto
  • Patent number: 8088247
    Abstract: A plasma processing apparatus is provided using a method of measuring the thickness of a processed material, by which the actual remaining thickness or etching depth of a processed layer can be correctly measured online.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: January 3, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Kazuhiro Joo, Takashi Fujii
  • Patent number: 8083888
    Abstract: The invention provides a plasma processing apparatus for measuring the etching quantity of the material being processed and detecting the end point of etching using optical interference on the surface of a sample being processed, so as to simultaneously realize long life and ensure sufficient light to be received via a light transmitting unit, to enable long term stable operation and to improve the processing accuracy via accurate etching quantity detection.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: December 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Tsuyoshi Yoshida, Tsuyoshi Matsumoto, Satoru Muto, Kenetsu Yokogawa
  • Patent number: 8083889
    Abstract: A plasma etching apparatus capable of performing processing with excellent in-plane uniformity on an object to be processed having a large diameter is provided.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: December 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Go Miya, Manabu Edamura, Ken Yoshioka, Ryoji Nishio
  • Patent number: 8049872
    Abstract: An endpoint detection device, a plasma reactor with the endpoint detection device, and an endpoint detection method are provided. The endpoint detection device includes an OES data operation unit, a data selector, a product generator, an SVM, and an endpoint determiner. The OES data operation unit processes reference OES data by normalization and PCA. The data selector selects part of the linear reference loading vectors and selects part of the selected linear reference loading vectors. The product generator outputs at least one reference product value. The SVM performs regression and outputs a prediction product value. The endpoint determiner detects a process wafer etch or deposition endpoint and outputs a detection signal.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: November 1, 2011
    Assignee: DMS Co., Ltd.
    Inventors: Kun Joo Park, Kwang Hoon Han, Kee Hyun Kim, Weon Mook Lee, Kyounghoon Han, Heeyeop Chae
  • Patent number: 8043438
    Abstract: An apparatus for cleaning a CVD apparatus that can efficiently remove a by-product such as SiO2 or Si3N4 stuck and deposited onto the surface of an internal wall, an electrode, or the like in a reaction chamber in a film forming process, and a method for cleaning a CVD apparatus. A control monitors luminous intensity data of an F radical in a reaction chamber by optical emission spectroscopy and compares the data with calibrated prestored luminous intensity data, and ends cleaning after a predetermined time passes from reaching a luminous intensity saturation point. Furthermore, concentration data of SiF4 in a gas discharged from the reaction chamber are monitored by a Fourier transform infrared spectrometry and compared with prestored concentration data of SiF4 to decide that the predetermined time has passed when a predetermined cleaning end point concentration is reached, thereby ending the cleaning.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: October 25, 2011
    Assignees: National Institute of Advanced Industrial Science and Technology, Canon Anelva Corporation, Ulvac, Inc., Sanyo Electric Co., Ltd., Sony Corporation, Tokyo Electron Limited, Hitachi Kokusai Electric Inc., Renesas Electronics Corporation, Fujitsu Semiconductor Limited
    Inventors: Katsuo Sakai, Kaoru Abe, Seiji Okura, Masaji Sakamura, Hitoshi Murata, Kenji Kameda, Etsuo Wani, Akira Sekiya
  • Patent number: 8025759
    Abstract: A polishing apparatus has a polishing section (302) configured to polish a substrate and a measurement section (307) configured to measure a thickness of a film formed on the substrate. The polishing apparatus also has an interface (310) configured to input a desired thickness of a film formed on a substrate to be polished and a storage device (308a) configured to store polishing rate data on at least one past substrate therein. The polishing apparatus includes an arithmetic unit (308b) operable to calculate a polishing rate and an optimal polishing time based on the polishing rate data and the desired thickness by using a weighted average method which weights the polishing rate data on a lately polished substrate.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: September 27, 2011
    Assignee: Ebara Corporation
    Inventors: Tatsuya Sasaki, Naoshi Yamada, Yoshifumi Katsumata, Noburu Shimizu, Seiryo Tsuno, Takashi Mitsuya
  • Patent number: 7993487
    Abstract: In the present invention, two coil-shaped probes each detecting the intensity of a magnetic field in a direction around a center axis of a processing space are provided in a process vessel of a plasma processing apparatus. Each of the probes detects an induced electromotive force generated in the coil, and a computer calculates an amount of radio-frequency current from the induced electromotive force, based on a predetermined calculation principle. A difference between the amounts of the radio-frequency current detected by the probes is calculated, and a loss radio-frequency current amount passing out of a plasma area between upper and lower electrodes is calculated, whereby the flow of the radio-frequency current in the plasma is known.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa
  • Publication number: 20110174776
    Abstract: A plasma processing apparatus (100) includes: a plasma generation means for generating a plasma in a processing chamber (1); a measurement section (60) for measuring an integrated value of the particle number of an active species contained in the plasma and moving toward a processing object (wafer W); and a control section (50) for controlling the apparatus in such a manner as to terminate plasma processing when the measured integrated value has reached a set value. The measurement section (60) measures the particle number of the active species by emitting a predetermined laser light from a light source section (61) toward the plasma, and receiving the laser light in a detection section (63) provided with a VUV monochromator.
    Type: Application
    Filed: August 26, 2008
    Publication date: July 21, 2011
    Applicant: Tokyo Electron Limited
    Inventors: Yoshiro Kabe, Kinya Ota, Junichi Kitagawa
  • Publication number: 20110177625
    Abstract: Embodiments of the present invention relate to the analysis of the components of one or more gases, for example a gas mixture sampled from a semiconductor manufacturing process such as plasma etching or plasma enhanced chemical vapor deposition (PECVD). Particular embodiments provide sufficient power to a plasma of the sample, to dissociate a large number of the molecules and molecular fragments into individual atoms. With sufficient power (typically a power density of between 3-40 W/cm3) delivered into the plasma, most of the emission peaks result from emission of individual atoms, thereby creating spectra conducive to simplifying the identification of the chemical composition of the gases under investigation. Such accurate identification of components of the gas may allow for the precise determination of the stage of the process being performed, and in particular for detection of process endpoint.
    Type: Application
    Filed: March 30, 2011
    Publication date: July 21, 2011
    Inventors: Joseph R. Monkowski, Barton Lane
  • Publication number: 20110168671
    Abstract: In accordance with an embodiment of the invention, a step in a fabrication process can be conducted so as to determine when the process has reached an end point. End point detection can be performed by detecting when a operating process condition changes. For example, in one embodiment, a step in a fabrication process (e.g., an etching step) can be conducted in a chamber by varying a position of a throttle valve connected to the chamber so as to maintain a desired pressure within the chamber. In such method, it can be determined when the etching step has reached an end point by detecting when a signal representative of the throttle valve position changes in a particular way which matches an expected signature. In another embodiment, a step in a fabrication process can be conducted in a chamber by maintaining a desired flow within the chamber, such as by controlling a throttle valve, and allowing the pressure within the chamber to vary.
    Type: Application
    Filed: January 8, 2010
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John M. Yamartino, Anthony D. Lisi
  • Publication number: 20110139368
    Abstract: Apparatus and systems provide a mechanism to examine physical properties and/or diagnose problems at a selected location of an integrated circuit. Such apparatus and systems can include a source of an energetic beam directed at the selected location. The apparatus and systems may be used to provide examination and/or diagnostic methods that may be used in areas smaller than one micron in diameter and that may be used to remove IC layers, either selectively or non-selectively, until a desired depth is obtained.
    Type: Application
    Filed: February 18, 2011
    Publication date: June 16, 2011
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Publication number: 20110136346
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 9, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Phillip Geissbühler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried
  • Publication number: 20110024387
    Abstract: An easy and high-efficient tip probe manufacturing method is provided. The manufacturing method of a tip type probe having a metal film on side surfaces of a truncated pyramid formed of a top surface and the side surfaces includes the steps of: forming an etching mask of a shape similar to a shape of the top surface on a substrate; forming the truncated pyramid by subjecting the substrate to isotropic etching using the etching mask as a mask member; stopping the isotropic etching after an area of the top surface becomes smaller than an area of the etching mask; and forming the metal film by allowing film forming particles to round about and enter into a space between the etching mask and the side surfaces.
    Type: Application
    Filed: February 18, 2009
    Publication date: February 3, 2011
    Inventor: Majung Park
  • Patent number: 7867355
    Abstract: A plasma probe assembly for use in a plasma processing chamber is provided. A semiconductor probe element with a probe surface at a first end of the semiconductor probe element is provided. An electrical connector is electrically connected to the semiconductor probe element. An electrically insulating sleeve surrounds at least part of the probe element. An adjustment device is connected to the semiconductor probe so that the probe surface is coplanar with an interior chamber surface of the plasma processing chamber.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: January 11, 2011
    Assignee: Lam Research Corporation
    Inventors: Christopher Kimball, Eric Hudson, Douglas Keil, Alexei Marakhtanov
  • Patent number: 7862736
    Abstract: Method of cleaning a plasma etching apparatus capable of suppressing variation in line width among wafers in a single lot, and improving throughput in the cleaning process, includes steps of supplying a cleaning gas into a chamber of a plasma etching apparatus; igniting a plasma of the cleaning gas in the chamber; and allowing plasma cleaning to proceed in the chamber, by bringing the cleaning gas in plasma form into contact with a deposit adhered on the inner wall of the chamber so as to etch off the deposit, wherein in the step of plasma cleaning in the chamber, intensity of plasma emission ascribable to the deposit adhered on the inner wall of the chamber is detected in a time-dependent manner, and the plasma cleaning in the chamber is terminated based on changes in the intensity of the plasma emission.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: January 4, 2011
    Assignee: NEC Electronics Corporation
    Inventor: Tomoo Nakayama
  • Patent number: 7850818
    Abstract: The disclosure concerns a manufacturing method of a semiconductor device includes dry-etching a semiconductor substrate or a structure formed on the semiconductor substrate; supplying a solution onto the semiconductor substrate; measuring a specific resistance or a conductivity of the supplied solution; and supplying a removal solution for removing the etching residual material onto the semiconductor substrate for a predetermined period of time based on the specific resistance or the conductivity of the solution, when an etching residual material adhering to the semiconductor substrate or the structure is removed.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: December 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Yoshihiro Uozumi, Kunihiro Miyazaki
  • Patent number: 7833381
    Abstract: The present invention provides a method and apparatus for improving optical sensing of a plasma process through the use of a fiber optic sensor placed within a standard showerhead hole of a standard gas showerhead positioned in an upper electrode of a plasma system during the plasma processing of a substrate. A film property can be calculated based on the measured plasma emission from the surface of the substrate. The film property can be film deposition rate, refractive index, film thickness, etc. Based on the measured film property, the plasma processing of the substrate can be adjusted and/or terminated. In addition, a window is provided that is positioned in the upper electrode assembly for viewing the plasma emission through the standard showerhead hole.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: November 16, 2010
    Inventor: David Johnson
  • Patent number: 7815813
    Abstract: An end point detection method in the case where a catalyst arranged in a treatment chamber of a gas phase reaction processing apparatus is heated at high temperature by supplying electric power thereto and the treatment is carried out by cracking a reaction gas by the catalyst heated at high temperature, comprises the steps of supplying the electric power to the catalyst from a constant current source, detecting electric potential difference between both ends of the catalyst, performing primary differentiation of the detected electric potential difference, and determining an end point of the treatment based on obtained primary differential value.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: October 19, 2010
    Assignees: Tokyo Ohka Kogyo Co., Ltd., Japan Advanced Institute of Science and Technology
    Inventors: Kazuhisa Takao, Hiroshi Ikeda, Hideki Matsumura, Atsushi Masuda, Hironobu Umemoto
  • Patent number: 7811428
    Abstract: The present invention presents an improved optical window deposition shield for optical access to a process space in a plasma processing system through a deposition shield, wherein the design and fabrication of the optical window deposition shield advantageously provides an optically clean access to the processing plasma in the process space while sustaining substantially minimal erosion of the optical window deposition shield.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: October 12, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shinya Nishimoto, Kouji Mitsuhashi, Hidehito Saigusa, Taira Takase, Hiroyuki Nakayama
  • Patent number: 7794563
    Abstract: An etching depth measuring device for measuring the etching depth of an object to be processed, when etching the object to be processed by using active species present in a plasma, the etching depth measuring device comprising: a chamber in which is formed an introduction port for introducing a part of the active species; a member to be processed which is housed in the chamber and etched by the part of the active species; and a mass detecting element which receives a substance generated from the member to be processed and detects the mass of the received substance.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: September 14, 2010
    Assignee: TDK Corporation
    Inventors: Naoki Kubota, Akihiro Horita
  • Publication number: 20100224322
    Abstract: An analysis chamber coupled to a processing chamber includes an actively switchable capacitive-inductive coupling apparatus providing excitation in a capacitively coupled mode and an inductively coupled mode.
    Type: Application
    Filed: February 3, 2010
    Publication date: September 9, 2010
    Applicant: Applied Materials, Inc.
    Inventors: ZHIFENG SUI, Matthew F. Davis
  • Publication number: 20100133232
    Abstract: An endpoint detection system for detecting an endpoint of a process comprises a polychromatic light source which emits polychromatic light. The light is reflected from a substrate. A light wavelength selector receives the reflected polychromatic light and determines a wavelength of light at which a local intensity of the reflected light is maximized during the process. In one version, the wavelength selector comprises a diffraction grating to generate a plurality of light beams having different wavelengths from the reflected polychromatic light and a light detector to receive the light beams having different wavelengths and generate an intensity signal trace of the intensity of each wavelength of the polychromatic reflected light.
    Type: Application
    Filed: January 15, 2010
    Publication date: June 3, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Lei LIAN, Matthew F. DAVIS
  • Publication number: 20100089532
    Abstract: A plasma processing apparatus for generating a plasma of a processing gas by applying a high frequency power to an electrode provided in a processing chamber and processing a substrate using the plasma is provided. The plasma processing apparatus includes an optical data detection unit, a data storage unit and a control unit. The optical data detection unit detects optical data when plasma processing the substrate. The data storage unit stores correlation data representing a correlation between type data corresponding to a plurality of types classified based on a type of a mask or a film to be processed disposed on the substrate and optical data to be detected by the optical data detection unit, and end point detection setting data sets, each of the setting data sets serving to detect a plasma processing end point and corresponding to one of the types.
    Type: Application
    Filed: December 15, 2009
    Publication date: April 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kosuke OGASAWARA, Susumu Saito, Syuji Nozawa
  • Publication number: 20100084094
    Abstract: In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber 107 from which the atmosphere inside is evacuated. Etching gas is input into the main chamber 107 for a first period of time. Thereafter, the etching gas is evacuated from the main chamber 107 and cooling/purging gas is input into the main chamber for a second interval of time. Thereafter, the cooling/purging gas is evacuated from the main chamber 107. Desirably, the steps of inputting the etching gas into the main chamber 107 for the first period of time, evacuating the etching gas from the main chamber, inputting the cooling/purging gas into the main chamber 107 for the second period of time, and evacuating the cooling/purging gas from the main chamber are repeated until samples have been etched to a desired extent.
    Type: Application
    Filed: December 7, 2009
    Publication date: April 8, 2010
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Patent number: 7691226
    Abstract: An electron temperature measurement method that enables an electron temperature as a plasma parameter to be measured precisely. A plasma is produced in a chamber 11 such that a wafer W is subjected to reactive ion etching therein. An ion energy distribution in the chamber 11 is measured. An ion energy distribution in the chamber 11 is simulated based on a set electron temperature. The measured ion energy distribution and the simulated ion energy distribution are compared. The electron temperature of the plasma is estimated based on results of the comparison mentioned above.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kazuki Denpoh
  • Patent number: 7686917
    Abstract: A plasma processing apparatus includes a vacuum vessel with a sample stage having a mounting surface disposed in a process chamber, and a plate having substantially uniform thickness and electric power applied thereto constituting a ceiling of the chamber. The plate is disposed opposite to and substantially parallel with the sample stage so as to cover the whole area of the stage mounting surface and has a through-hole therein. An optical transmitter with a diameter larger than a diameter of the though-hole is disposed inside of the vacuum vessel and has an end face at a position above and spaced a small distance a back surface of the plate so as to receive light from the chamber via the through-hole. The optical transmitter is independently detachable with respect to the back surface of the plate.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: March 30, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Masuda, Tatehito Usui, Mitsuru Suehiro, Hiroshi Kanekiyo, Hideyuki Yamamoto, Kazue Takahashi, Hiromichi Enami
  • Patent number: 7655110
    Abstract: In the present invention, a probe which detects a time varying magnetic flux density in a direction around a center axis of a processing space is provided in a process vessel of a plasma processing apparatus. The probe detects an induced electromotive force generated in a coil as the time varying magnetic flux density, and a computer calculates an amount of radio-frequency current in the process vessel from the induced electromotive force, based on a predetermined calculation principle.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: February 2, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa