With Measuring, Sensing, Detection Or Process Control Means Patents (Class 156/345.24)
  • Patent number: 11961746
    Abstract: A substrate processing method includes (a) forming a recess on a workpiece by partially etching the workpiece; and (b) forming a film having a thickness that differs along a depth direction of the recess, on a side wall of the recess. Step (b) includes (b-1) supplying a first reactant, and causing the first reactant to be adsorbed to the side wall of the recess; and (b-2) supplying a second reactant, and causing the second reactant to react with the first reactant thereby forming a film.
    Type: Grant
    Filed: August 12, 2022
    Date of Patent: April 16, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sho Kumakura, Hironari Sasagawa, Maju Tomura, Yoshihide Kihara
  • Patent number: 11887824
    Abstract: A method of cleaning a plasma processing apparatus includes: disposing a first dummy substrate at a first position with respect to a stage inside a chamber and performing a first dry cleaning process inside the chamber; and disposing a second dummy substrate at a second position with respect to the stage inside the chamber and performing a second dry cleaning process inside the chamber, wherein each of a center of the first position and a center of the second position is located at a different position from a center of the stage in a plan view, and wherein the first position and the second position are different from each other in a plan view.
    Type: Grant
    Filed: July 27, 2021
    Date of Patent: January 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasutaka Hama, Nobuaki Shindo
  • Patent number: 11868053
    Abstract: A method for accurately obtaining a photolithography parameter. In the method, photolithography is performed on a target carrier with different preset photolithography parameters by using a same mask pattern as a mask, to obtain a plurality of target patterns. Each of the target pattern is compared with a standard pattern to obtain an evaluation value, and the target pattern is set as a valid pattern, when the evaluation value corresponding to the target pattern is greater than or equal to a preset value. A Bosung curve is drawn by taking a line width of the valid pattern and a preset photolithography parameter corresponding to the line width as data. The photolithography parameter corresponding to a preset line width is obtained according to the Bosung curve.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: January 9, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Xun Yan
  • Patent number: 11855192
    Abstract: A method includes forming a fin structure including a plurality of first semiconductor layers and a plurality of second semiconductor layers alternately stacked over a substrate. A dummy gate structure is formed across the fin structure. The exposed second portions of the fin structure are removed. A selective etching process is performed, using a gas mixture including a hydrogen-containing gas and a fluorine-containing gas, to laterally recess the first semiconductor layers. Inner spacers are formed on opposite end surfaces of the laterally recessed first semiconductor layers. Source/drain epitaxial structures are formed on opposite end surfaces of the second semiconductor layers. The dummy gate structure is removed to expose the first portion of the fin structure. The laterally recessed first semiconductor layers are removed. A gate structure is formed to surround each of the second semiconductor layers.
    Type: Grant
    Filed: January 19, 2021
    Date of Patent: December 26, 2023
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TSMC NANJING COMPANY LIMITED
    Inventors: Han-Yu Lin, Fang-Wei Lee, Kai-Tak Lam, Raghunath Putikam, Tzer-Min Shen, Li-Te Lin, Pinyen Lin, Cheng-Tzu Yang, Tzu-Li Lee, Tze-Chung Lin
  • Patent number: 11844217
    Abstract: A method for forming 3-dimensional vertical NOR-type memory string arrays uses damascene local bit lines is provided. The method of the present invention also avoids ribboning by etching local word lines in two steps. By etching the local word lines in two steps, the aspect ratio in the patterning and etching of stack of local word lines (“word line stacks”) is reduced, which improves the structural stability of the word line stacks.
    Type: Grant
    Filed: February 10, 2022
    Date of Patent: December 12, 2023
    Assignee: SUNRISE MEMORY CORPORATION
    Inventors: Scott Brad Herner, Wu-Yi Henry Chien, Jie Zhou, Eli Harari
  • Patent number: 11822318
    Abstract: It is an object of the present invention to reduce the amount of data used in an apparatus, a system, and a method for performing a substrate processing. In order to achieve this object, a substrate processing apparatus includes one or more processing units each for performing a processing on a substrate and one or more arithmetic processing parts. One or more arithmetic processing parts generate a flow recipe defining a flow of a series of processings for a substrate by combining two or more processing recipes among a plurality of processing recipes each defining a processing condition relating to a processing to be performed on a substrate in the one or more processing units. The plurality of processing recipes include a plurality of liquid processing recipes each defining a condition of a processing to be performed on a substrate by using a processing liquid.
    Type: Grant
    Filed: September 6, 2019
    Date of Patent: November 21, 2023
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Koji Hashimoto, Shinji Shimizu, Hiroshi Horiguchi, Masahiro Yamamoto
  • Patent number: 11817340
    Abstract: A power supply comprises at least one waveform generator that produces a clamp waveform responsive to a clamp signal, and at least one amplifier that amplifies and provides the clamp waveform to an electrostatic chuck. An advisor module receives parameter values for parameters affecting operation of the power supply, uses a neural network to determine whether the parameter values are consistent with trained parameter values, and continuously and automatically modifies weighting of inputs to the neural network when any parameter values are inconsistent with the trained parameter values. A controller provides the clamp signal to the waveform generator, receives reports from the advisor module, and adjusts the clamp signal or provides a status report when any parameter values are inconsistent with the trained parameter values.
    Type: Grant
    Filed: April 28, 2021
    Date of Patent: November 14, 2023
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Donnie Herman
  • Patent number: 11809205
    Abstract: An estimator comprises: an acquisitor to acquire a plurality of data pairs each containing a valve body opening of the vacuum valve and the chamber pressure at the valve body opening; and an operator to operate the gas species characteristic value and the first chamber volume estimation value, based on an exhaust's expression representing a relationship among the second effective exhaust rate, a flow rate of a gas introduced into the vacuum chamber, a chamber volume, and a chamber pressure, the plurality of data pairs acquired in the acquisitor, and correlation data between the valve body opening and the second effective exhaust rate about the predetermined known gas.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: November 7, 2023
    Assignee: SHIMADZU CORPORATION
    Inventor: Junichiro Kozaki
  • Patent number: 11776819
    Abstract: A point etching module using an annular surface-discharge plasma apparatus is disclosed. The point etching module using an annular surface-discharge plasma apparatus comprises: a plate-shaped dielectric; a circular electrode disposed on and in contact with the upper surface of the dielectric; an annular electrode disposed on and in contact with the lower surface of the dielectric and providing a gas receiving space for receiving gas; and a power supplier for applying high voltage between the circular electrode and the annular electrode, wherein when the application of the high voltage starts an electric discharge, filament type plasma is irradiated toward a substrate to be treated, by using plasma flowing in the center direction of the annular electrode from between the inner surface of the annular electrode and the lower surface of the dielectric.
    Type: Grant
    Filed: February 13, 2019
    Date of Patent: October 3, 2023
    Assignee: KOREA INSTITUTE OF FUSION ENERGY
    Inventors: Dong Chan Seok, Tai Hyeop Lho, Yong Ho Jung, Yong Sup Choi, Kang Il Lee, Seung Ryul Yoo, Soo Ouk Jang
  • Patent number: 11767594
    Abstract: A method for plasma coating an object includes an object profile, having the steps of: a) manufacturing a replaceable shield comprising a jet inlet, a nozzle outlet and a sidewall extending from the jet inlet to the nozzle outlet, wherein the nozzle outlet includes an edge essentially congruent to at least part of the object profile; b) detachably attaching the replaceable shield to a jet outlet of a plasma jet generator; c) placing the object at the nozzle outlet such that the object profile fits closely to the nozzle outlet edge; d) plasma coating the object with a low-temperature, oxygen-free plasma at an operating pressure which is higher than the atmospheric pressure by providing a plasma jet in the shield via the plasma jet generator and injecting coating precursors in the plasma jet in the shield.
    Type: Grant
    Filed: June 24, 2019
    Date of Patent: September 26, 2023
    Assignee: MOLECULAR PLASMA GROUP SA
    Inventors: Gill Scheltjens, Régis Heyberger, Malek Alnasser
  • Patent number: 11756768
    Abstract: Proposed are techniques for simplifying the process of suppressing an increase in a reflected wave Pr due to IMD. A high-frequency power supply system for providing high-frequency power to a load includes: a bias power supply for supplying a bias power having a first frequency; a source power supply for supplying a high-frequency output having a second frequency higher than the first frequency and being frequency modulated with the first frequency; and a matching device including an impedance matching circuit for acquiring the bias power and the frequency modulated high-frequency output and achieving impedance matching between the source power supply and the load. The source power supply, in response to a trigger signal for timing having the first frequency, detects a reflected wave while causing a modulation start phase and a modulation amount gain to be varied, and determines an optimum modulation start phase and modulation amount gain that minimize the reflected wave.
    Type: Grant
    Filed: December 17, 2021
    Date of Patent: September 12, 2023
    Assignee: DAIHEN CORPORATION
    Inventors: Yuichi Hasegawa, Yuya Ueno
  • Patent number: 11749503
    Abstract: A method performed by a plasma processing apparatus including a first electrode and a second electrode is provided. The method includes applying a pulsed wave of first radio frequency (RF) power to the first electrode or the second electrode; and applying a pulsed wave of second RF power having a lower frequency than the first RF power, to the first electrode with a given phase difference relative to the pulsed wave of the first RF power. A first on-period of the second RF power and a second on-period of the second RF power are controlled such that the first on-period and the second on-period do not overlap with a period of time while the first RF power is turned on. Also, the first on-period is controlled such that the first on-period ends just before the first RF power is turned on.
    Type: Grant
    Filed: January 19, 2021
    Date of Patent: September 5, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Takuto Yoshimura
  • Patent number: 11735423
    Abstract: Based on the fact that a film thickness of a film formed in a film formation processing of repeatedly performing a first sequence varies according to a temperature of the surface on which the film is to be formed, the film formation processing is performed after the temperature of each region of the surface of the wafer is adjusted to reduce a deviation of a trench on the surface of the wafer, so that the film is very precisely formed on the inner surface of the trench while reducing the deviation of the trench on the surface of the wafer. When the trench width is narrower than a reference width, an etching processing of repeatedly performing a second sequence is performed in order to expand the trench width, so that the surface of the film provided in the inner surface of the trench is isotropically and uniformly etched.
    Type: Grant
    Filed: April 25, 2022
    Date of Patent: August 22, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masahiro Tabata
  • Patent number: 11664283
    Abstract: An apparatus includes a measurement chamber configured to retain one or more sample substances. The apparatus includes an entrance window mounted on a side of the measurement chamber. The apparatus includes a light source configured to generate an incident light beam. The apparatus includes a Raman sensor configured to collect inelastically scattered light from the chamber, and measure an intensity of a Raman peak of a first substance from the one or more sample substances based on the collected inelastically scattered light. The apparatus further includes a processor configured to (i) calculate a concentration of the first substance based on at least the measured intensity of the Raman peak of the first substance, (ii) determine the end point of a wafer cleaning process based on a calculated concentration of the first substance, and (iii) terminate the wafer cleaning process based on the determined end point.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: May 30, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Ivan Maleev
  • Patent number: 11658042
    Abstract: A method for patterning a material layer on a substrate includes forming a hard mask layer on a material layer disposed on a substrate, the material layer comprising a plurality of first layers and a plurality of second layers alternately formed over the substrate, performing a first etch process to form features in the material layer through the hard mask layer by supplying a first etching gas, and performing a second etch process to smooth sidewalls of the features formed in the material layer by suppling a second etching gas. The first etching gas is supplied continuously and the second etching gas is pulsed.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: May 23, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Nancy Fung, Gabriela Alva
  • Patent number: 11631589
    Abstract: Exemplary methods of etching may include flowing a fluorine-containing precursor and a secondary gas into a processing region of a semiconductor processing chamber. The secondary gas may be or include oxygen or nitrogen. A flow rate ratio of the fluorine-containing precursor to the secondary gas may be greater than or about 1:1. The methods may include contacting a substrate with the fluorine-containing precursor and the secondary gas. The substrate may include an exposed metal. The substrate may define a high aspect-ratio structure. The methods may include etching the exposed metal within the high aspect-ratio structure.
    Type: Grant
    Filed: May 4, 2021
    Date of Patent: April 18, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Baiwei Wang, Xiaolin C. Chen, Rohan Puligoru Reddy, Oliver Jan, Zhenjiang Cui, Anchuan Wang
  • Patent number: 11631653
    Abstract: An ultrasonic bonding apparatus includes an ultrasonic bonding machine having an ultrasonic tool for applying an ultrasonic wave to a bonding target member mounted on a fixed object fixed to a jig, while pressing a bonding member against the bonding target member; and a bonding inspection apparatus for inspecting a bonding quality of the bonding target member and the bonding member. The bonding inspection apparatus includes: a bonded-state measuring device for detecting a vibration in the jig or a housing of the ultrasonic bonding machine equipped with the jig, to thereby output a detection signal; and a bonded-state determination device for determining, in a bonding process for the bonding target member and the bonding member, a bonded state between the bonding target member and the bonding member on the basis of the detection signal outputted by the bonded-state measuring device.
    Type: Grant
    Filed: February 2, 2018
    Date of Patent: April 18, 2023
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Minoru Egusa, Shingo Sudo, Kazuyuki Hashimoto, Erubi Suzuki
  • Patent number: 11626290
    Abstract: A method of etching silicon oxide on a surface of a substrate is provided. The method comprises alternately repeating heating the substrate to a heating temperature of 60° C. or higher, supplying hydrogen fluoride gas and ammonia gas onto the substrate to react with the silicon oxide, and modifying the silicon oxide to obtain a reaction product, and removing at least a portion of the reaction product from the substrate while stopping the supply of the above gases and continuing to heat the substrate at the heating temperature; and when a process gas that is at least one of the hydrogen fluoride gas and the ammonia gas is supplied, while continuing to supply the process gas from an upstream side of a flow path, closing a valve disposed in the flow path to pressurize the process gas in the flow path, and then opening the valve.
    Type: Grant
    Filed: August 9, 2021
    Date of Patent: April 11, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Osamu Yokoyama, Kwangpyo Choi, Kazuki Hashimoto, Rio Shimizu, Takashi Kobayashi, Takashi Sakuma, Shinya Okabe
  • Patent number: 11598708
    Abstract: A method and an apparatus for characterising a sample comprising particles is disclosed. The method comprises performing a first measurement on the sample using a first particle characterisation technique; flowing the sample from the first particle characterisation technique to a particle separating device; separating the sample with the particle separating device; and performing a second measurement on the separated sample. The apparatus is configured to perform the method, and comprises a measurement system for performing measurements according to a first particle characterisation technique and a particle separating device for separating samples comprising particles.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: March 7, 2023
    Assignee: Malvern Panalytical Limited
    Inventors: Oksana Iryna Barker, Oluseyi Latunde-Dada, Markos Trikeriotis, David Robert Barker
  • Patent number: 11589474
    Abstract: A diagnostic disc includes a disc-shaped body having raised walls that encircle the interior of the disc-shaped body and at least one protrusion extending outwardly from the disc-shaped body. The raised walls of the disc-shaped body define a cavity of the disc-shaped body. A non-contact sensor is attached to each of the at least one protrusion. A printed circuit board (PCB) is positioned within the cavity formed on the disc-shaped body. A vacuum and high temperature tolerant power source is disposed on the PCB along with a wireless charger and circuitry that is coupled to each non-contact sensor and includes at least a wireless communication circuit and a memory. A cover is positioned over the cavity of the disc-shaped body and shields at least a portion of the PCB, circuitry, power source, and wireless charger within the cavity from an external environment.
    Type: Grant
    Filed: June 2, 2020
    Date of Patent: February 21, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Phillip A. Criminale, Zhiqiang Guo, Philip A. Kraus, Andrew Myles, Martin Perez-Guzman
  • Patent number: 11572625
    Abstract: There is provided a rotation detection jig used for an apparatus in which a substrate is processed inside a processing container by rotating a mounting stand for a substrate provided on one surface side of a rotary table while revolving the mounting stand with rotation of the rotary table, and supplying a processing gas to a region through which the mounting stand passes, including: a rotating element configured to rotate about a rotation shaft of the mounting stand; an encoder main body configured to detect a rotation angle of the rotating element and configured to constitute a rotary encoder together with the rotating element; a fixing member configured to fix the encoder main body to a rotating portion including the rotary table; and a signal processing part provided in the rotating portion and configured to process a detection signal detected by the encoder main body.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: February 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takeshi Kobayashi, Hitoshi Kato, Yukio Ohizumi
  • Patent number: 11569197
    Abstract: An ultrasonic bonding apparatus includes an ultrasonic bonding machine having an ultrasonic tool for applying an ultrasonic wave to a bonding target member mounted on a fixed object fixed to a jig, while pressing a bonding member against the bonding target member; and a bonding inspection apparatus for inspecting a bonding quality of the bonding target member and the bonding member. The bonding inspection apparatus includes: a bonded-state measuring device for detecting a vibration in the jig or a housing of the ultrasonic bonding machine equipped with the jig, to thereby output a detection signal; and a bonded-state determination device for determining, in a bonding process for the bonding target member and the bonding member, a bonded state between the bonding target member and the bonding member on the basis of the detection signal outputted by the bonded-state measuring device.
    Type: Grant
    Filed: February 2, 2018
    Date of Patent: January 31, 2023
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Minoru Egusa, Shingo Sudo, Kazuyuki Hashimoto, Erubi Suzuki
  • Patent number: 11556853
    Abstract: There is provided a learning method. The method includes performing preprocessing on light emission data in a chamber of a plasma processing apparatus, setting a constraint for generating a regression equation representing a relationship between an etching rate of the plasma processing apparatus and the light emission data, selecting a learning target wavelength from the light emission data subjected to the preprocessing, and receiving selection of other sensor data different from the light emission data. The method further includes generating a regression equation based on the set constraint while using, as learning data, the selected wavelength, the received other sensor data, and the etching rate, and outputting the generated regression equation.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: January 17, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuki Kataoka, Takehito Watanabe
  • Patent number: 11495444
    Abstract: In a processing chamber, a processing target substrate is placed and a substrate processing is performed. A holder is configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: November 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masato Kon
  • Patent number: 11476098
    Abstract: The invention provides a method and system to remotely monitor a plasma (3) comprising a magnetic field antenna (2) positioned in the near electromagnetic field of a coupled plasma source wherein the magnetic field antenna is a magnetic loop antenna placed in the near electromagnetic field and measure near field signals emitted from the plasma source. A radio system (1) is utilised to analyse the low power signal levels across a wide frequency band. Plasma paramaters such as series, or geometric, resonance plasma and electron-neutral collision frequencies are evaluated via a fitting of resonant features present on higher harmonics of the driving frequency to identify arcing, pump or matching failure events, common in fabrication plasma systems.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: October 18, 2022
    Assignee: DUBLIN CITY UNIVERSITY
    Inventors: Patrick J. McNally, Sean Kelly
  • Patent number: 11434565
    Abstract: This invention provides a cleaning method that uses a cleaning gas composition for a semiconductor manufacturing device, including a monofluorohalogen compound represented by XF (in which X is Cl, Br or I) as the main component, and provides a method for removing unwanted film, such as a Si-containing deposit, attached to the interior of the processing room or processing vessel after a processing operation without damaging the interior of the processing room or processing vessel using such monofluorohalogen compound.
    Type: Grant
    Filed: March 29, 2017
    Date of Patent: September 6, 2022
    Assignee: KANTO DENKA KOGYO CO., LTD.
    Inventors: Yoshinao Takahashi, Korehito Kato
  • Patent number: 11404253
    Abstract: According to the present invention, a plasma processing apparatus includes an analysis unit that obtains wavelengths of the light correlated with a plasma processing result, selects, from the obtained wavelengths, a wavelength having a first factor that represents a deviation in an intensity distribution of the light and is larger than a first predetermined value, and predicts the plasma processing result using the selected wavelength, or an analysis unit that obtains values computed using each of light intensities of a plurality of wavelengths and correlated with the plasma processing result, selects, from the obtained values, a value having a second factor that represents a deviation in a distribution of the obtained values and is larger than a second predetermined value, and predicts the plasma processing result using the selected value.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: August 2, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Ryoji Asakura, Daisuke Shiraishi, Akira Kagoshima, Satomi Inoue
  • Patent number: 11393729
    Abstract: An apparatus for supporting a wafer during a plasma processing operation includes a pedestal configured to have bottom surface and a top surface and a column configured to support the pedestal at a central region of the bottom surface of the pedestal. An electrical insulating layer is disposed over the top surface of the pedestal. An electrically conductive layer is disposed over the top surface of the electrical insulating layer. At least three electrically conductive support structures are distributed on the electrically conductive layer. The at least three support structures are configured to interface with a bottom surface of a wafer to physically support the wafer and electrically connect to the wafer. An electrical connection extends from the electrically conductive layer to connect with a positive terminal of a direct current power supply at a location outside of the pedestal.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: July 19, 2022
    Assignee: Lam Research Corporation
    Inventors: Yukinori Sakiyama, Edward Augustyniak, Douglas Keil
  • Patent number: 11380527
    Abstract: A plasma processing apparatus includes a substrate support having a substrate supporting portion on which a substrate is placed and a peripheral portion surrounding the substrate supporting portion, a conductive focus ring placed on the peripheral portion of the substrate support, a cover ring surrounding an outer periphery of the substrate support and formed of a dielectric material, a conductive ring placed on the cover ring, and a radio frequency power supply electrically coupled to the substrate support. A first surface on an outer peripheral portion of the focus ring and a second surface on an inner peripheral portion of the conductive ring are spaced apart from each other while facing each other. Further, the cover ring has a spacing portion that separates the focus ring from the conductive ring.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: July 5, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Ryo Sasaki
  • Patent number: 11371148
    Abstract: A method includes receiving one or more parameters associated with a plurality of metal plates. The method further includes determining, based on the one or more parameters, a plurality of predicted deformation values associated with the plurality of metal plates. Each of the plurality of predicted deformation values correspond to a corresponding metal plate of the plurality of metal plates. The method further includes causing, based on the plurality of predicted deformation values, the plurality of metal plates to be diffusion bonded to produce a bonded metal plate structure.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: June 28, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Sumit Agarwal, Anantha K Subramani, Yang Guo, Siva Chandrasekar
  • Patent number: 11355326
    Abstract: A plasma processing apparatus includes a processing chamber, a conductive annular member, a microwave radiating mechanism and a plasma detector. The processing chamber has a ceiling plate with an opening. The conductive annular member is disposed at the opening while being insulated from the ceiling plate. The microwave radiating mechanism is disposed on the ceiling plate to be coaxial with a center of the conductive annular member and configured to radiate microwaves into the processing chamber. Further, a plasma detector is connected to the conductive annular member and configured to detect a state of generated plasma.
    Type: Grant
    Filed: July 29, 2020
    Date of Patent: June 7, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taro Ikeda, Mikio Sato, Eiki Kamata
  • Patent number: 11327177
    Abstract: Disclosed herein are examples of ladar systems and methods where data about a plurality of ladar returns from prior ladar pulse shots gets stored in a spatial index that associates ladar return data with corresponding locations in a coordinate space to which the ladar return data pertain. This spatial index can then be accessed by a processor to retrieve ladar return data for locations in the coordinate space that are near a range point to be targeted by the ladar system with a new ladar pulse shot. This nearby prior ladar return data can then be analyzed by the ladar system to help define a shot energy for use by the ladar system with respect to the new ladar pulse shot.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: May 10, 2022
    Assignee: AEYE, INC.
    Inventors: Luis Carlos Dussan, Allan Steinhardt, Federico Presutti, Joel David Benscoter
  • Patent number: 11328903
    Abstract: A plasma processing system includes a radio-frequency (RF) power source unit configured to generate three RF powers; a process chamber to which a process gas supplied and to which the RF powers are applied to generate a plasma; and an impedance matcher between the RF power source unit and the process chamber, the impedance matcher configured to adjust an impedance. The RF power source unit may include a first RF power source connected to a first electrode located in a lower portion of the process chamber to apply a first RF power having a first frequency, a second RF power source connected to the first electrode and to apply a second RF power having a second frequency, and a third RF power source connected to a second electrode located in an upper portion of the process chamber and to apply a third RF power having a third frequency.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: May 10, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jaewon Jeong, Daebeom Lee, Juho Lee, Junghyun Cho
  • Patent number: 11289313
    Abstract: Provided is a plasma processing apparatus including a processing unit in which a sample is plasma processed and which includes a monitor (optical emission spectroscopy) that monitors light emission of plasma, wherein the processing unit includes a prediction model storage unit that stores a prediction model predicting a plasma processing result, and a control device in which the plasma processing result is predicted by using a prediction model selected based on light emission data and device data as an indicator of state change of the processing unit.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: March 29, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Shota Umeda, Keita Nogi, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 11127598
    Abstract: An etching method includes a step of selectively forming deposit on a top surface of a mask disposed on a film of a substrate, a step of etching the film after the step of forming the deposit, a step of forming a layer of chemical species included in plasma of a processing gas, on the substrate, and a step of supplying ions from plasma of an inert gas to the substrate so that the chemical species react with the film.
    Type: Grant
    Filed: January 17, 2020
    Date of Patent: September 21, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takayuki Hoshi, Masanobu Honda, Masahiro Tabata, Toru Hisamatsu
  • Patent number: 11075611
    Abstract: An object is to provide a frequency adjustment method for a piezoelectric resonator device that is applicable to a microminiaturized device and that can adjust the frequency without deteriorating the accuracy of frequency adjustment. A frequency adjustment method for a tuning-fork quartz resonator is applicable to a tuning-fork quartz resonator that includes a tuning-fork quartz resonator piece having a pair of resonator arms 31, 32 and metallic adjustment films W formed on the resonator arms. The frequency adjustment method adjusts the frequency by reduction of a mass of the metallic adjustment films W. The frequency adjustment method includes: a rough adjustment step for roughly adjusting the frequency by partially thinning or removing the metallic adjustment films W; and a fine adjustment step for finely adjusting the frequency by at least partially thinning or removing products W1, W2 derived from the metallic adjustment film W during the rough adjustment step.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: July 27, 2021
    Assignee: Daishinku Corporation
    Inventor: Hiroaki Yamashita
  • Patent number: 10985029
    Abstract: In a substrate processing apparatus for processing a substrate mounted on a mounting table in a processing chamber by supplying a gas to the substrate, the apparatus includes: a partition unit provided, between a process space where a substrate is provided and a diffusion space where a first gas is diffused, to face the mounting table; a first as supply unit for supplying the first gas to the diffusion space; first gas injection holes, formed through the partition unit, for injecting the first gas diffused in the diffusion space into the processing space; and a second gas supply unit including second gas injection holes opened on a gas injection surface of the partition unit which faces the processing space. The second gas supply unit independently supplies a second gas to each of a plurality of regions arranged in a horizontal direction in the processing space separately from the first gas.
    Type: Grant
    Filed: December 11, 2019
    Date of Patent: April 20, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Ogawa, Tomoya Okubo, Akitaka Shimizu
  • Patent number: 10921251
    Abstract: The present invention generally relates method and part wear indicator for identifying an eroded chamber component in an etching or other plasma processing chamber. In one embodiment, a chamber component has a part wear indicator. The chamber component has a body. The body has a top surface and a bottom surface. A part wear indicator material is disposed in the chamber component body. The part wear indicator has a body. The body of the part wear indicator has a transparent first layer. A second layer has a tracer material disposed therein and wherein the first layer is closer to the top surface of the top surface than the second layer.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Mats Larsson, Kevin A. Papke
  • Patent number: 10910201
    Abstract: Described is a method for determining an endpoint of an etch process using optical emission spectroscopy (OES) data as an input. OES data is acquired by a spectrometer in a plasma etch processing chamber. The acquired time-evolving spectral data is first filtered and de-meaned, and thereafter transformed into transformed spectral data, or trends, using multivariate analysis such as principal components analysis, in which previously calculated principal component weights are used to accomplish the transform. Grouping of the principal components weights into two separate groups corresponding to positive and negative natural wavelengths, creates separate signed trends (synthetic wavelengths).
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: February 2, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Yan Chen, Xinkang Tian, Vi Vuong
  • Patent number: 10896823
    Abstract: Processes for localized film deposition on semiconductor device surfaces having non-planar features. The processes use combinations of Limited-Dose Atomic Layer Etch, Limited Dose Atomic Layer Deposition, and Atomic Layer Deposition to provide localized coatings only near or on the bottom, or only near the center, or only near or on the top and bottom of trench and Fin features.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: January 19, 2021
    Inventors: Thomas E. Seidel, Michael Current
  • Patent number: 10861678
    Abstract: A plasma etching apparatus includes a second electrode configured to support a target substrate thereon, a second RF power supply unit configured to apply a second RF power for providing a bias for ion attraction to the second electrode, and a control system including and an RF controller. The RF controller is configured to switch the second RF power supply unit between a continuous mode that executes continuous supply of the second RF power at a constant power level and a power modulation mode that executes modulation of the second RF power between a first power and a second power larger than the first power. The RF controller is preset to control the second RF power supply unit such that the second RF power supply unit is first operated in the continuous mode for plasma ignition and then is switched into the power modulation mode.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: December 8, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Noriyuki Kobayashi, Shigeru Yoneda, Kenichi Hanawa, Shigeru Tahara, Masaru Sugimoto
  • Patent number: 10847374
    Abstract: A method for etching features in a stack below a carbon containing mask is provided. The stack is cooled to a temperature below ?20° C. An etch gas is provided comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component. A plasma is generated from the etch gas. A bias is provided with a magnitude of at least about 400 volts to accelerate ions from the plasma to the stack. Features are selectively etched in the stack with respect to the carbon containing mask.
    Type: Grant
    Filed: October 31, 2017
    Date of Patent: November 24, 2020
    Assignee: Lam Research Corporation
    Inventors: Leonid Belau, Eric Hudson, Francis Sloan Roberts
  • Patent number: 10832938
    Abstract: Systems and methods for processing semiconductor structures are provided. The methods generally include determining a desired removal map profile for a device layer of a semiconductor structure, determining a set of process parameters for use in an epitaxial smoothing process based on the desired removal map profile, and selectively removing material from the device layer by performing an epitaxial smoothing process on an outer surface of the device layer.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: November 10, 2020
    Assignee: GlobalWafers Co., Ltd.
    Inventor: Charles Robert Lottes
  • Patent number: 10818564
    Abstract: Embodiments include devices and methods for detecting material deposition and material removal performed by a wafer processing tool. In an embodiment, one or more micro sensors mounted on a process chamber of the wafer processing tool are capable of operating under vacuum conditions and/or may measure material deposition and removal rates in real-time during a plasma-less wafer fabrication process. Other embodiments are also described and claimed.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: October 27, 2020
    Assignee: Applied Materials, Inc.
    Inventor: Leonard Tedeschi
  • Patent number: 10748748
    Abstract: A method to detect a potential fault in a plasma system is described. The method includes accessing a model of one or more parts of the plasma system. The method further includes receiving data regarding a supply of RF power to a plasma chamber. The RF power is supplied using a configuration that includes one or more states. The method also includes using the data to produce model data at an output of the model. The method includes examining the model data. The examination is of one or more variables that characterize performance of a plasma process of the plasma system. The method includes identifying the fault for the one or more variables. The method further includes determining that the fault has occurred for a pre-determined period of time such that the fault is identified as an event. The method includes classifying the event.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: August 18, 2020
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., James Hugh Rogers, Nicholas Edward Webb, Peter T. Muraoka
  • Patent number: 10734195
    Abstract: A substrate processing system includes a processing chamber including a substrate support to support a substrate. A coil is arranged around the processing chamber. A first RF source provides first RF power at a first magnitude and a first frequency. A first pulsing circuit applies a duty cycle to the first RF source. A tuning circuit receives an output of the first pulsing circuit, includes a first variable capacitor, and has an output in communication with the coil to generate plasma in the processing chamber. A controller includes a data acquisition module to generate feedback. A feedback control module controls at least one of the first frequency and the first variable capacitor based on the feedback and a gain value. The controller selects the gain value based on at least one of the first frequency and the duty cycle.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: August 4, 2020
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Alex Paterson
  • Patent number: 10679832
    Abstract: The disclosure provides a plasma source, an excitation system for excitation of a plasma, and a method of operating an excitation measurement system. In one embodiment, the plasma source includes: (1) a coaxial radio frequency (RF) resonator including a first end, a second end, an inner electrode and an outer electrode, (2) a radio frequency interface electrically coupled to the inner and outer electrode and configured to provide an RF signal to the coaxial RF resonator, (3) a flange positioned at the first end of the resonator and defining a plasma cavity, and (4) a window positioned between the first end of the resonator and the flange, and forming one side of the plasma cavity, whereby the coaxial RF resonator is isolated from the plasma.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: June 9, 2020
    Assignee: Verity Instruments, Inc.
    Inventor: Mark A. Meloni
  • Patent number: 10656252
    Abstract: Disclosed herein are examples of ladar systems and methods where data about a plurality of ladar returns from prior ladar pulse shots gets stored in a spatial index that associates ladar return data with corresponding locations in a coordinate space to which the ladar return data pertain. This spatial index can then be accessed by a processor to retrieve ladar return data for locations in the coordinate space that are near a range point to be targeted by the ladar system with a new ladar pulse shot. This nearby prior ladar return data can then be analyzed by the ladar system to help define a parameter value for use by the ladar system with respect to the new ladar pulse shot. Examples of such adaptively controlled parameter values can include shot energy, receiver parameters, shot selection, camera settings, and others.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: May 19, 2020
    Assignee: AEYE, INC.
    Inventors: Luis Carlos Dussan, Allan Steinhardt, Federico Presutti, Joel David Benscoter
  • Patent number: 10656194
    Abstract: Methods and apparatus for measurement of a surface charge profile of an electrostatic chuck are provided herein. In some embodiments, an apparatus for measurement of a surface charge profile of an electrostatic chuck includes: an electrostatic charge sensor disposed on a substrate to obtain data indicative of an electrostatic charge on an electrostatic chuck; and a transmitter disposed on the substrate and having an input in communication with an output of the electrostatic charge sensor to transmit the data.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: May 19, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Haitao Wang, Lawrence Wong, Kartik Ramaswamy, Chunlei Zhang
  • Patent number: 10615010
    Abstract: According to an embodiment of the present invention, a plasma processing apparatus includes: a processing chamber in which plasma processing is performed to a sample; a radio frequency power source that supplies radio frequency power for generating plasma in the processing chamber; and a data processing apparatus that performs processing to light emission data of the plasma. The data processing apparatus performs the processing to the light emission by using an adaptive double exponential smoothing method for varying a smoothing parameter based on an error between input data and a predicted value of smoothed data. A response coefficient of the smoothing parameter is derived by a probability density function including the error as a parameter.
    Type: Grant
    Filed: March 1, 2016
    Date of Patent: April 7, 2020
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Seiichi Watanabe, Satomi Inoue, Shigeru Nakamoto, Kousuke Fukuchi