With Means To Heat The Workpiece Support Patents (Class 156/345.52)
  • Patent number: 8828185
    Abstract: A dry non-plasma treatment system and method for removing oxide material is described. The treatment system is configured to provide chemical treatment of one or more substrates, wherein each substrate is exposed to a gaseous chemistry under controlled conditions including surface temperature and gas pressure. Furthermore, the treatment system is configured to provide thermal treatment of each substrate, wherein each substrate is thermally treated to remove the chemically treated surfaces on each substrate.
    Type: Grant
    Filed: May 2, 2010
    Date of Patent: September 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Martin Kent, Eric J. Strang
  • Patent number: 8828143
    Abstract: A surface cleaning apparatus comprising a chamber, and a thermal transfer device. The chamber is capable of holding a semiconductor structure therein. The thermal transfer device is connected to the chamber. The thermal transfer device has a surface disposed inside the chamber for contacting the semiconducting structure and controlling a temperature of the semiconductor structure in contact with the surface. The thermal transfer device has a thermal control module connected to the surface for heating and cooling the surface to thermally cycle the surface. The thermal control module effects a substantially immediate thermal response of the surface when thermally recycling the surface.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: John P. Simons, Kenneth J. McCullough, Wayne M. Moreau, John M. Cotte, Keith R. Pope, Charles J. Taft, Dario L. Goldfarb
  • Patent number: 8822876
    Abstract: An electrostatic chuck assembly including a dielectric layer with a top surface to support a workpiece. A cooling channel base disposed below the dielectric layer includes a plurality of inner fluid conduits disposed beneath an inner portion of the top surface, and a plurality of outer fluid conduits disposed beneath an outer portion of the top surface. A chuck assembly includes a thermal break disposed within the cooling channel base between the inner and outer fluid conduits. A chuck assembly includes a fluid distribution plate disposed below the cooling channel base and the base plate to distribute a heat transfer fluid delivered from a common input to each inner or outer fluid conduit. The branches of the inner input manifold may have substantially equal fluid conductance.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Hamid Tavassoli, Surajit Kumar, Kallol Bera, Xiaoping Zhou, Shane C. Nevil, Douglas A. Buchberger, Jr.
  • Patent number: 8821639
    Abstract: An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: September 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Anthony J. Ricci, Keith Comendant, James Tappan
  • Patent number: 8809747
    Abstract: A method of operating a heating plate for a substrate support assembly used to support a semiconductor substrate in a semiconductor processing apparatus, wherein the heating plate comprises power supply lines and power return lines and respective heater zone connected between every pair of power supply line and power return line. The method reduces maximum currents carried by the power supply lines and power return lines by temporally spreading current pulses for powering the heater zones.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: August 19, 2014
    Assignee: Lam Research Corporation
    Inventors: John Pease, Neil Benjamin
  • Patent number: 8771420
    Abstract: A substrate processing apparatus that forms thin films on a plurality of substrates and thermally processes the substrates, by uniformly heating the substrates. The substrate processing apparatus includes a processing chamber, a boat in which substrates are stacked, an external heater located outside of the processing chamber, a feeder to move the boat into and out of the processing chamber, a lower heater located below the feeder, and a central heater located in the center of the boat.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 8, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Eu-Gene Kang, Seok-Rak Chang, Yun-Mo Chung, Tae-Hoon Yang, Byung-Soo So, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Ivan Maidanchuk, Won-Bong Baek, Jae-Wan Jung
  • Patent number: 8747559
    Abstract: A substrate support useful for a plasma processing apparatus includes a metallic heat transfer member and an overlying electrostatic chuck having a substrate support surface. The heat transfer member includes one or more passage through which a liquid is circulated to heat and/or cool the heat transfer member. The heat transfer member has a low thermal mass and can be rapidly heated and/or cooled to a desired temperature by the liquid, so as to rapidly change the substrate temperature during plasma processing.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: June 10, 2014
    Assignee: Lam Research Corporation
    Inventor: Robert J. Steger
  • Publication number: 20140154819
    Abstract: A semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a heater array comprising thermal control elements operable to tune a spatial temperature profile on the semiconductor substrate, the thermal control elements defining heater zones each of which is powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to at least two of the heater zones and each power return line is connected to at least two of the heater zones. A power distribution circuit is mated to a baseplate of the substrate support, the power distribution circuit being connected to each power supply line and power return line of the heater array. A switching device is connected to the power distribution circuit to independently provide time-averaged power to each of the heater zones by time divisional multiplexing of a plurality of switches.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 5, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Keith William Gaff, Tom Anderson, Keith Comendant, Ralph Jan-Pin Lu, Paul Robertson, Eric A. Pape, Neil Benjamin
  • Publication number: 20140138757
    Abstract: A semiconductor device includes a substrate including an active region defined by a device isolation pattern and a floating gate on the active region. The floating gate includes an upper portion, a lower portion having a width greater than a width of the upper portion, and a step-difference portion between the upper portion and the lower portion. A dielectric pattern is on the floating gate, and a control gate is on the dielectric pattern. The lower portion of the floating gate has a height of about 4 nm or more.
    Type: Application
    Filed: August 14, 2013
    Publication date: May 22, 2014
    Inventors: HyoJoong Kim, ByeongHoon Kim, In-young Kim, Sang Bong Shin, Songha Oh
  • Patent number: 8709162
    Abstract: A substrate support assembly and method for controlling the temperature of a substrate within a process chamber with a temperature uniformity of +/?5° C. are provided. A substrate support assembly includes a thermally conductive body comprising an aluminum material, a substrate support surface on the surface of the thermally conductive body and adapted to support the large area glass substrate thereon, one or more heating elements embedded within the thermally conductive body, and one or more cooling channels embedded within the thermally conductive body and positioned around the one or more heating elements. A process chamber comprising the substrate support assembly of the invention is also provided.
    Type: Grant
    Filed: August 16, 2005
    Date of Patent: April 29, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Samuel Leung, Su Ho Cho, William Allan Bagley
  • Publication number: 20140110061
    Abstract: In a plasma processing apparatus, a heating element 50 provided in a susceptor 12 is electrically connected to a heater power supply 58 disposed at an outside of a chamber 10 via an internal conductor 51 provided through the susceptor 12, a power feed conductor 52 provided across a space SP, a filter unit 54 and an electric cable 56. A casing 110 of the filter unit 54 is vertically fastened, from a bottom of the chamber 10, to an opening 114 formed in a bottom wall (base) 10a of the chamber 10 to be adjacent to a cylindrical conductive cover 42 that surrounds a power feed rod 40. The casing 110 is physically or electrically coupled to the bottom wall 10a of the chamber 10.
    Type: Application
    Filed: October 18, 2013
    Publication date: April 24, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Naohiko Okunishi
  • Patent number: 8696814
    Abstract: A disclosed film deposition apparatus includes a process chamber inside which a reduced pressure space is maintained; a gas supplying portion that supplies a film deposition gas to the process chamber; a substrate holding portion that is made of a material including carbon as a primary constituent and holds a substrate in the process chamber; a coil that is arranged outside the process chamber and inductively heats the substrate holding portion; and a thermal insulation member that covers the substrate holding portion and is arranged to be separated from the process chamber, wherein the reduced pressure space is separated into a film deposition gas supplying space to which the film deposition gas is supplied and a thermal insulation space defined between the substrate holding portion and the process chamber, and wherein a cooling medium is supplied to the thermal insulation space.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: April 15, 2014
    Assignees: Tokyo Electron Limited, Rohm Co., Ltd.
    Inventors: Eisuke Morisaki, Hirokatsu Kobayashi, Jun Yoshikawa, Ikuo Sawada, Tsunenobu Kimoto, Noriaki Kawamoto, Masatoshi Aketa
  • Publication number: 20140096909
    Abstract: A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.
    Type: Application
    Filed: December 10, 2013
    Publication date: April 10, 2014
    Applicant: Lam Research Corporation
    Inventors: Harmeet Singh, Keith Gaff, Neil Benjamin, Keith Comendant
  • Patent number: 8679254
    Abstract: [Problem] Provided is a vapor phase epitaxy apparatus of a group III nitride semiconductor including: a susceptor for holding a substrate; the opposite face of the susceptor; a heater for heating the substrate; a reactor formed of a gap between the susceptor and the opposite face of the susceptor; a raw material gas-introducing portion for supplying a raw material gases from the central portion of the reactor toward the peripheral portion of the reactor; and a reacted gas-discharging portion. Even when crystal growth is conducted on the surfaces of a large number of large-aperture substrates, the vapor phase epitaxy apparatus can eject each raw material gas at an equal flow rate for any angle, and can suppress the decomposition and crystallization of the raw material gases on the opposite face of the susceptor.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: March 25, 2014
    Assignee: Japan Pionics Co., Ltd.
    Inventors: Kenji Iso, Yoshiyasu Ishihama, Ryohei Takaki, Yuzuru Takahashi
  • Patent number: 8679255
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Patent number: 8673081
    Abstract: An epitaxial reactor enabling simultaneous deposition of thin films on a multiplicity of wafers is disclosed. During deposition, a number of wafers are contained within a wafer sleeve comprising a number of wafer carrier plates spaced closely apart to minimize the process volume. Process gases flow preferentially into the interior volume of the wafer sleeve, which is heated by one or more lamp modules. Purge gases flow outside the wafer sleeve within a reactor chamber to minimize deposition on the walls of the chamber. In addition, sequencing of the illumination of the individual lamps in the lamp module may further improve the linearity of variation in deposition rates within the wafer sleeve. To improve uniformity, the direction of process gas flow may be varied in a cross-flow configuration. Combining lamp sequencing with cross-flow processing in a multiple reactor system enables high throughput deposition with good film uniformities and efficient use of process gases.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: March 18, 2014
    Assignee: Crystal Solar, Inc.
    Inventors: Visweswaren Sivaramakrishnan, Kedarnath Sangam, Tirunelveli S. Ravi, Andrzej Kaszuba, Quoc Vinh Truong
  • Publication number: 20140069585
    Abstract: Disclosed is a plasma etching apparatus which includes: a base formed of a metal that has a lower expansion coefficient than aluminum; an electrostatic chuck disposed on a mounting surface of the base and configured to mount an object to be processed; a bonding layer which bonds the base to the electrostatic chuck; and a heater provided within the electrostatic chuck. In the plasma etching apparatus, the base is provided with a metal portion that is formed through a cold spraying by using a metal that has a higher thermal conductivity than the metal for forming the base.
    Type: Application
    Filed: September 5, 2013
    Publication date: March 13, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tadashi AOTO, Daisuke HAYASHI
  • Patent number: 8663391
    Abstract: An electrostatic chuck for receiving a substrate in a substrate processing chamber comprises a ceramic puck having a substrate receiving surface having a plurality of spaced apart mesas, an opposing backside surface, and central and peripheral portions. A plurality of heat transfer gas conduits traverse the ceramic puck and terminate in ports on the substrate receiving surface to provide heat transfer gas to the substrate receiving surface. An electrode is embedded in the ceramic puck to generate an electrostatic force to retain a substrate placed on the substrate receiving surface. A plurality of heater coils are also embedded in the ceramic puck, the heaters being radially spaced apart and concentric to one another.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: March 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Matyushkin, Dennis Koosau, Theodoros Panagopoulos, John Holland
  • Patent number: 8652260
    Abstract: Apparatus for holding semiconductor wafers during semiconductor manufacturing processes are disclosed. In one embodiment, the apparatus comprises a heat-conductive layer disposed on a supporting base. The apparatus also comprises a plurality of holes formed through the heat-conductive layer and the supporting base. The apparatus further comprises a plurality of heat-conductive lift pins that extend through the holes over the heat-conductive layer at the top end, and make a direct contact with a wafer substrate. The heat-conductive layer and the lift pins are connected to a heating circuit.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: February 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chien Ling Hwang
  • Patent number: 8641825
    Abstract: A substrate temperature regulation fixed apparatus has a base substance on which a vacuumed object is placed, an adhesive layer and a base plate. The base substance is fixed on the base plate through the adhesive layer. The adhesive layer contains a substance having plasma resistance.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: February 4, 2014
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Hiroshi Yonekura, Miki Saito, Koki Tamagawa
  • Patent number: 8633423
    Abstract: Methods and apparatus for controlling the temperature of a substrate during processing are provided herein. In some embodiments, an apparatus for retaining and controlling substrate temperature may include a puck of dielectric material; an electrode disposed in the puck proximate a surface of the puck upon which a substrate is to be retained; and a plurality of heater elements disposed in the puck and arranged in concentric rings to provide independent temperature control zones.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: January 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Xing Lin, Douglas A. Buchberger, Jr., Xiaoping Zhou, Valentin Todorow, Andrew Nguyen, Anchel Sheyner
  • Patent number: 8623173
    Abstract: An electrode member for generating plasma includes an electrode plate and a cooling unit having a plurality of thermoelectric modules that are thermally in contact with the electrode plate. The thermoelectric modules may regulate the temperature of the electrode plate based on the Peltier effect.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: January 7, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventor: Hyoung-Kyu Son
  • Publication number: 20140001154
    Abstract: In a plasma processing apparatus including a processing room disposed in a vacuum vessel, a sample stage located in the processing room, a dielectric film disposed on the top surface of the sample stage and serving as the sample mounting surface of the sample stage, and a plurality of electrodes embedded in the dielectric film for chucking the sample to the dielectric film when supplied with electric power, a part of the sample is chucked by supplying electric power to at least one of the electrodes while the sample is mounted on the sample stage; the sample is heated up to a predetermined temperature; a larger part of the sample is chucked by supplying electric power to the other of the electrodes; and the processing of the sample using the plasma is initiated.
    Type: Application
    Filed: September 4, 2012
    Publication date: January 2, 2014
    Inventors: Kohei Sato, Kazunori Nakamoto, Yutaka Omoto
  • Patent number: 8608854
    Abstract: A CVD device includes a reaction chamber, a support device, a gas input assembly and a gas output device. The support device is positioned in the reaction chamber. The gas input assembly and a gas output assembly are connected to the reaction chamber respectively. The gas input assembly includes a main body positioned in the reaction chamber and a plurality of gas jets uniformly positioned on the main body, introducing mixed gas to the reaction chamber uniformly.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: December 17, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8608852
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: December 17, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Chetan Mahadeswaraswamy, Kallol Bera, Larry D. Elizaga
  • Patent number: 8608900
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: December 17, 2013
    Assignees: B/E Aerospace, Inc., Applied Materials, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Patent number: 8591754
    Abstract: A tray for a dry etching apparatus includes substrate accommodation holes penetrating a thickness direction and a substrate support portion supporting an outer peripheral edge portion of a lower surface of a substrate. An upper portion includes a tray support surface supporting a lower surface of the tray, substrate placement portions on each of which a lower surface of the substrate to be placed, and a concave portion for accommodating the substrate support portion. A dc voltage applying mechanism applies a dc voltage to an electrostatic attraction electrode. A heat conduction gas supply mechanism supplies a heat conduction gas between the substrate and substrate placement portion. During carrying of the substrate, the outer peripheral edge of the lower surface of the substrate is supported by the substrate accommodation hole. During processing of the substrate, the substrate support portion is accommodated in the concave portion.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: November 26, 2013
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Patent number: 8580585
    Abstract: A method for forming identical isotropic etch patterns in an etch system is disclosed. The method comprises providing a wafer paddle, a wafer, a plurality of identical etch systems, utilizing identical etch recipes within each of the plurality of etch systems, providing a fixed temperature stability time FTST for each system so that the heat transfer from the paddle to the wafer is constant, wherein the FTST is the same on each of the plurality of etch systems; and utilizing the plurality of identical etch systems to produce identical etches on each of the wafers based upon the FTST, wherein a five-second preheat step in the etch process is not utilized.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: November 12, 2013
    Assignee: Micrel, Inc.
    Inventor: Howard Kurasaki
  • Publication number: 20130288483
    Abstract: A dynamically tunable process kit, a processing chamber having a dynamically tunable process kit, and a method for processing a substrate using a dynamically tunable process kit are provided. The dynamically tunable process kit allows one or both of the electrical and thermal state of the process kit to be changed without changing the phyisical construction of the process kit, thereby allowing plasma properties, and hence processing results, to be easily changed without replacing the process kit. The processing chamber having a dynamically tunable process kit includes a chamber body that includes a portion of a conductive side wall configured to be electrically controlled, and a process kit. The processing chamber includes a first control system operable to control one or both of an electrical and thermal state of the process kit and a second control system operable to control an electrical state of the portion of the side wall.
    Type: Application
    Filed: February 13, 2013
    Publication date: October 31, 2013
    Inventors: S.M. Reza Sadjadi, Dmitry Lubomirsky, Hamid Noorbakhsh, John Zheng Ye, David H. Quach, Sean S. Kang
  • Patent number: 8569647
    Abstract: Provided is a heat treatment apparatus in which a heat treatment apparatus in which the thermal efficiency is high, the maintenance expense is low, the throughput is high, the surface roughness of a sample can be reduced, and the discharge uniformity is excellent, although the heat treatment is performed at 1200 ° C. or more. A heat treatment apparatus includes: parallel planar electrodes; a radio-frequency power supply generating plasma by applying radio-frequency power between the parallel planar electrodes; a temperature measuring section measuring the temperature of a heated sample; and a control unit controlling an output of the radio-frequency power supply, wherein at least one of the parallel planar electrodes has a space where the heated sample is installed, therein, and heats the sample in the electrode by the plasma generated between the parallel planar electrodes.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: October 29, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masatoshi Miyake, Ken'etsu Yokogawa
  • Publication number: 20130270227
    Abstract: A method for etching a metal layer dispose below a mask is provided. The metal layer is placed in an etch chamber. A precursor gas is flowed into the etch chamber. The precursor gas is adsorbed into the metal layer to form a precursor metal complex. The precursor metal complex is heated to a temperature above a vaporization temperature of the precursor metal complex, while the metal layer is exposed to the precursor gas. The vaporized precursor metal complex is exhausted from the etch chamber.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 17, 2013
    Applicant: Lam Research Corporation
    Inventors: Joydeep GUHA, Jeffrey MARKS, Butsurin JINNAI
  • Patent number: 8555810
    Abstract: A plasma dry etching apparatus includes a pedestal in a process chamber, the pedestal being configured to support a wafer, a cathode electrode and a plate electrode in the process chamber, the cathode and plate electrodes being configured to apply radio-frequency (RF) power, an edge ring on an edge of the pedestal, a coupling ring having a first side on the pedestal and a second side on the edge ring, an edge cooling unit in the coupling ring, the edge cooling unit being configured to cool the edge ring to drop a temperature of an extreme edge of the wafer, and an edge heating unit in the coupling ring, the edge heating unit being configured to heat the edge ring to raise the temperature of an extreme edge of the wafer.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Joon Park, Su-Hong Kim
  • Publication number: 20130256270
    Abstract: According to one embodiment, a plasma processing apparatus includes: a processing chamber; a decompression section configured to decompress inside of the processing chamber; a member including a control section to be inserted into a depression provided on mounting side of a workpiece, the control section being configured to thereby control at least one of in-plane distribution of capacitance of a region including the workpiece and in-plane distribution of temperature of the workpiece; a mounting section provided inside the processing chamber; a plasma generating section configured to supply electromagnetic energy to a region for generating a plasma for performing plasma processing on the workpiece; and a gas supply section configured to supply a process gas to the region for generating a plasma. The control section performs control so that at least one of the in-plane distribution of capacitance and the in-plane distribution of temperature is made uniform.
    Type: Application
    Filed: March 19, 2013
    Publication date: October 3, 2013
    Applicants: KABUSHIKI KAISHA TOSHIBA, SHIBAURA MECHATRONICS CORPORATION
    Inventors: Takeharu MOTOKAWA, Tokuhisa OOIWA, Kensuke DEMURA, Tomoaki YOSHIMORI, Makoto KARYU, Yoshihisa KASE, Hidehito AZUMANO
  • Patent number: 8546270
    Abstract: An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Hyun Kim, Ki-Vin Im, Hoon-Sang Choi, Moon-Hyeong Han
  • Patent number: 8540819
    Abstract: A ceramic heater for a semiconductor substrate process includes a plate and a shaft. The plate includes a first base and a second base bonded to the first base. Defined on a mounting surface of the first base are: a first region having a surface contacting with a mounted substrate; a purge groove provided in the portion covered with the substrate and surrounds the first region; and a second region having a surface surrounding the purge groove. The first base has: an adsorber configured to adsorb the mounted substrate onto the surface of the first region; and multiple purge holes each penetrating from the bottom surface of the purge groove to the lower surface of the first base. The purge groove is supplied with a purge gas through the multiple purge holes. The surface of the second region is located lower than that of the first region.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: September 24, 2013
    Assignee: NGK Insulators, Ltd.
    Inventors: Takashi Kataigi, Yuji Akatsuka
  • Patent number: 8540818
    Abstract: A polycrystalline silicon reactor in which the polycrystalline silicon is deposited by supplying raw-material gas to a heated silicon seed rod has; a bottom plate on which the silicon seed rod stands, having a dished upper surface; an opening of a path penetrating the bottom plate from the upper surface to a lower surface, being provided at a lowest part of the upper surface; and a plug which is detachably attached to the opening.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: September 24, 2013
    Assignee: Mitsubishi Materials Corporation
    Inventors: Seiichi Kirii, Teruhisa Kitagawa
  • Patent number: 8506712
    Abstract: The present invention provides a wafer support jig having at least a support surface on which a treatment target wafer is mounted and supported when performing a heat treatment, wherein skewness Rsk on the support surface that supports the treatment target wafer is 0<Rsk<10, and 100 to 105 protruding objects each having a height of 2 ?m or above and less than 30 ?m are present within arbitrary 1 mm2 without protruding objects each having a height of 30 ?m or above on the entire support surface.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: August 13, 2013
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventor: Takeshi Kobayashi
  • Patent number: 8505928
    Abstract: A substrate temperature control fixing apparatus comprises an electrostatic chuck which includes a base body and adsorbs and holds an adsorbing target mounted on one of surfaces of the base body, a base plate which supports the electrostatic chuck, and a bank portion provided on an outer periphery of one of surfaces of the base plate which is opposed to the other of the surfaces of the base body.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: August 13, 2013
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Miki Saito, Tadayoshi Yoshikawa, Koki Tamagawa
  • Patent number: 8491752
    Abstract: A substrate mounting table includes a plate shaped member provided with a mounting surface for mounting a substrate thereon, a plurality of gas injection openings opened on the mounting surface to supply a gas toward the mounting surface, and a gas supply channel for supplying the gas through the gas injection openings; and a thermally sprayed ceramic layer covering the mounting surface. At least inner wall portions of the gas supply channel are formed in curved surface shapes, the inner wall portions facing the gas injection openings.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: July 23, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Yoshiyuki Kobayashi, Kaoru Oohashi
  • Patent number: 8475623
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Publication number: 20130105088
    Abstract: Apparatus for processing semiconductors are provided herein. In some embodiments, an apparatus for processing a substrate may include: a first ring disposed concentrically about a substrate support, the first ring configured to position a substrate atop the substrate support during processing; and a second ring disposed between the substrate support and the first ring, the second ring configured to provide a heat transfer path from the first ring to the substrate support.
    Type: Application
    Filed: October 5, 2012
    Publication date: May 2, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.
  • Publication number: 20130105081
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber via pulsed application of heating power and pulsed application of cooling power. In an embodiment, fluid levels in each of a hot and cold reservoir coupled to the temperature controlled component are maintained in part by a coupling each of the reservoirs to a common secondary reservoir. Heat transfer fluid is pumped from the secondary reservoir to either the hot or cold reservoir in response to a low level sensed in the reservoir. In an embodiment, both the hot and cold reservoirs are contained in a same platform as the secondary reservoir with the hot and cold reservoirs disposed above the secondary reservoir to permit the secondary reservoir to catch gravity driven overflow from either the hot or cold reservoir.
    Type: Application
    Filed: October 8, 2012
    Publication date: May 2, 2013
    Inventors: Fernando SILVEIRA, Brad L. MAYS
  • Patent number: 8430962
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: April 30, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Patent number: 8430960
    Abstract: Parasitic deposits are controlled in a deposition system for depositing a film on a substrate, the deposition system of the type defining a reaction chamber for receiving the substrate and including a process gas in the reaction chamber and an interior surface contiguous with the reaction chamber. Such control is provided by flowing a buffer gas between the interior surface and at least a portion of the process gas to form a gas barrier layer such that the gas barrier layer inhibits contact between the interior surface and components of the process gas. A deposition system for depositing a film on a substrate using a process gas includes a reaction chamber adapted to receive the substrate and the process gas. The system further includes an interior surface contiguous with the reaction chamber.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: April 30, 2013
    Assignee: Cree, Inc.
    Inventors: Joseph John Sumakeris, Michael James Paisley, Michael John O'Loughlin
  • Publication number: 20130097840
    Abstract: A lower electrode assembly useful for supporting a semiconductor substrate in a plasma processing chamber includes a temperature controlled lower base plate, an upper plate, a mounting groove surrounding a bond layer and an edge seal comprising an elastomeric band having an outer concave surface in an uncompressed state, the band mounted in the groove such that upper and lower ends of the band are axially compressed and a maximum outward bulging of the band is no greater than a predetermined distance.
    Type: Application
    Filed: October 20, 2011
    Publication date: April 25, 2013
    Applicant: Lam Research Corporation
    Inventors: David Schaefer, Ambarish Chhatre, Keith William Gaff, Sung Lee
  • Patent number: 8409399
    Abstract: A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Arthur H. LaFlamme, Jr., Thomas Hamelin, Jay R Wallace
  • Patent number: 8409355
    Abstract: Embodiments of process kits for substrate supports of semiconductor substrate process chambers are provided herein. In some embodiments, a process kit for a semiconductor process chamber may include an annular body being substantially horizontal and having an inner and an outer edge, and an upper and a lower surface; an inner lip disposed proximate the inner edge and extending vertically from the upper surface; and an outer lip disposed proximate the outer edge and on the lower surface, and having a shape conforming to a surface of the substrate support pedestal. In some embodiments, a process kit for a semiconductor process chamber my include an annular body having an inner and an outer edge, and having an upper and lower surface, the upper surface disposed at a downward angle of between about 5-65 degrees in an radially outward direction from the inner edge toward the outer edge.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Teruki Iwashita, Hiroshi Otake, Yuki Koga, Kazutoshi Maehara, Xinglong Chen, Sudhir Gondhalekar, Dmitry Lubomirsky
  • Patent number: 8404048
    Abstract: Disclosed are method and apparatus for treating a substrate. The apparatus is a dual-function process chamber that may perform both a material process and a thermal process on a substrate. The chamber has an annular radiant source disposed between a processing location and a transportation location of the chamber. Lift pins have length sufficient to maintain the substrate at the processing location while the substrate support is lowered below the radiant source plane to afford radiant heating of the substrate. A method of processing a substrate having apertures formed in a first surface thereof includes depositing material on the first surface in the apertures and reflowing the material by heating a second surface of the substrate opposite the first surface. A second material can then be deposited, filling the apertures partly or completely. Alternately, a cyclical deposition/reflow process may be performed.
    Type: Grant
    Filed: March 11, 2011
    Date of Patent: March 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Maurice E. Ewert, Anantha K. Subramani, Umesh M. Kelkar, Chandrasekhar Balasubramanyam, Joseph M. Ranish
  • Publication number: 20130072025
    Abstract: A component of a substrate support assembly such as a substrate support or edge ring includes a plurality of current loops incorporated in the substrate support and/or the edge ring. The current loops are laterally spaced apart and extend less than halfway around the substrate support or edge ring with each of the current loops being operable to induce a localized DC magnetic field of field strength less than 20 Gauss above a substrate supported on the substrate support during plasma processing of the substrate. When supplied with DC power, the current loops generate localized DC magnetic fields over the semiconductor substrate so as to locally affect the plasma and compensate for non-uniformity in plasma processing across the substrate.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: Lam Research Corporation
    Inventors: Harmeet Singh, Keith Gaff, Brett Richardson, Sung Lee
  • Patent number: 8398815
    Abstract: A plasma processing apparatus includes a processing chamber, a first radio frequency power supply for outputting a first radio frequency power, the first radio frequency power supply being electrically connected to a first electrode arranged in the processing chamber, a heater power supply for supplying electric power to a heating element provided in the first electrode, first and second power supply lines for electrically interconnecting the heating element and the heater power supply, and a filter circuit provided in the first and second power supply lines for attenuating radio frequency noises coming from the heating element. The filter circuit includes a first and a second air-core coil respectively provided on the first and the second power supply line at an initial stage of the filter circuit when viewed from the heating element, the air-core coils being in a coaxial relationship with each other and having substantially the same winding length.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: March 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yohei Yamazawa, Naohiko Okunishi