Multi-chamber, Load/unload Means Or Moving Workpiece Patents (Class 204/298.35)
  • Patent number: 10811230
    Abstract: A plasma deposition system comprising a wafer platform, a second electrode, a first electrode, a first high voltage pulser, and a second high voltage pulser. In some embodiments, the second electrode may be disposed proximate with the wafer platform. In some embodiments, the second electrode can include a disc shape with a central aperture; a central axis, an aperture diameter, and an outer diameter. In some embodiments, the first electrode may be disposed proximate with the wafer platform and within the central aperture of the second electrode. In some embodiments, the first electrode can include a disc shape, a central axis, and an outer diameter. In some embodiments, the first high voltage pulser can be electrically coupled with the first electrode. In some embodiments, the second high voltage pulser can be electrically coupled with the second electrode.
    Type: Grant
    Filed: July 29, 2019
    Date of Patent: October 20, 2020
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: Timothy Ziemba, Ilia Slobodov, John Carscadden, Kenneth Miller, James Prager
  • Patent number: 10755955
    Abstract: A substrate processing system is disclosed which includes a substrate input/output chamber coupled to a transfer chamber, and one or more processing chambers coupled to the transfer chamber, wherein the substrate input/output chamber includes a plurality of stacked carrier holders, and a platen, wherein the platen includes a plurality of alignment pads and a plurality of openings formed in a recessed flange along a peripheral edge of the platen.
    Type: Grant
    Filed: February 12, 2018
    Date of Patent: August 25, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Masato Ishii, Richard O. Collins, Richard Giljum, Alexander Berger
  • Patent number: 10707059
    Abstract: A device for bombarding at least one substrate with a plasma with a first electrode and a second electrode that can be arranged opposite thereto, which electrodes are formed together producing the plasma between the electrodes wherein at least one of the electrodes is formed from at least two electrode units. In addition, this invention relates to a corresponding method.
    Type: Grant
    Filed: May 9, 2014
    Date of Patent: July 7, 2020
    Assignee: EV Group E. Thallner GmbH
    Inventors: Thomas Glinsner, Christoph Flotgen, Johann Bernauer, Thomas Wagenleitner, Thomas Wieser, Florian Schmid, Thomas Plach, Roman Anzengruber, Alexander Nones, Uwe Kriebisch
  • Patent number: 9777371
    Abstract: A gas deposition system (1000) configured as a dual-chamber “tower” includes a frame (1140) for supporting two reaction chamber assemblies (3000), one vertically above the other. Each chamber assembly (3000) includes an outer wall assembly surrounding a hollow chamber (3070) sized to receive a single generation 4.5 (GEN 4.5) glass plate substrate through a load port. The substrate is disposed horizontally inside the hollow chamber (3070) and the chamber assembly (3000) includes removable and cleanable triangular shaped input (3150) and output (3250) plenums disposed external to the hollow chamber (3070) and configured to produce substantially horizontally directed laminar gas flow over a top surface of the substrate. Each chamber includes a cleanable and removable chamber liner assembly (6000) disposed inside the hollow chamber (3070) to contain precursor gases therein thereby preventing contamination of chamber outer walls (3010, 3020, 3030, 3040).
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: October 3, 2017
    Assignee: Ultratech, Inc.
    Inventors: Roger R. Coutu, Jill Svenja Becker, Ganesh M. Sundaram, Eric W. Deguns
  • Patent number: 9616538
    Abstract: The invention relates to an interlinking system for overhead transfer devices having at least two portals for the transport of workpieces or of workpieces on pallets between machines and/or supply/removal devices and vice versa. Each of the portals is in this respect equipped with at least one loading unit and the two portals are equipped with a transfer module for conveying the workpieces or the workpieces on pallets between a first and a second portal which is in turn equipped with a transport device for the reception of one or more workpieces or workpiece pallets.
    Type: Grant
    Filed: August 27, 2014
    Date of Patent: April 11, 2017
    Assignee: Liebherr-Verzahntechnik GmbH
    Inventors: Klaus Lingenhöl, Uwe Radigk, Roman Landerer
  • Patent number: 9211563
    Abstract: A substrate processing system for processing an essentially vertically oriented substrate is described. The system includes a first processing chamber having a first processing region to deposit a first layer comprising a first material, a second processing chamber having a second processing region to deposit a second layer over the first layer, the second layer comprising a second material, a third processing chamber having a third processing region to deposit a layer comprising the second material, a transfer chamber providing essentially linear transport paths with the first, second, and third chambers, respectively, and a chamber comprising a first and a second transportation track, wherein at least one of the first and second transportation tracks forms an essentially linear transportation path with the first processing chamber, wherein the first chamber is adapted to receive the substrate from the transfer chamber, and to deposit a further layer comprising the first material.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: December 15, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Erkan Koparal, Andreas Kloeppel
  • Publication number: 20150083582
    Abstract: The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly while neutral species are much less affected. Thus, the ratio of ion flux:neutral flux may be tuned by controlling the amount of area over which the apertures are aligned. In certain embodiments, one plate of the plate assembly is implemented as a series of concentric, independently movable injection control rings. Further, in some embodiments, the upper sub-chamber is implemented as a series of concentric plasma zones separated by walls of insulating material.
    Type: Application
    Filed: September 20, 2013
    Publication date: March 26, 2015
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Sang Ki Nam, Alexei Marakhtanov, Eric A. Hudson
  • Publication number: 20150075972
    Abstract: An improved method of preparing a TEM sample. A sample is extracted from a work piece and attached to a probe for transport to a sample holder. The sample is attached to the sample holder using charged particle beam deposition, and mechanically separated from probe by moving the probe and the sample holder relative to each other, without severing the connection using a charged particle beam.
    Type: Application
    Filed: August 11, 2014
    Publication date: March 19, 2015
    Applicant: FEI Company
    Inventor: Corey Senowitz
  • Patent number: 8894522
    Abstract: A drive end block for a rotatable magnetron comprises a housing, which has a vacuum-tight rotary feedthrough extending through a wall of the housing, and a drive apparatus for generating a torque. An output end of the rotary feedthrough lies outside the housing for connection to the rotatable magnetron and a drive end of the rotary feedthrough lies inside the housing for introducing a torque. The drive apparatus is situated outside the housing of the drive end block and is connected using a torque transmission apparatus to the drive end of the rotary feedthrough so that the drive apparatus is electrically insulated from the housing and the rotary feedthrough of the drive end block.
    Type: Grant
    Filed: April 10, 2009
    Date of Patent: November 25, 2014
    Assignee: VON ARDENNE Anlagentechnik GmbH
    Inventors: Hans-Juergen Heinrich, Goetz Grosser, Thorsten Sander
  • Publication number: 20140022839
    Abstract: A method and apparatus provide a magnetic memory including magnetic junctions on a substrate. The apparatus include an RIE chamber and an ion milling chamber. The chambers are coupled such that the magnetic memory is movable between the chambers without exposing the magnetic memory to ambient. The method provides magnetic junction layers and a hard mask layer on the magnetic junction layers. A hard mask is formed from the hard mask layer using an RIE. The magnetic junction layers are ion milled after the RIE and without exposing the magnetic memory to an ambient after the RIE. The ion milling defines at least part of each magnetic junction. A magnetic junction may be provided. The magnetic junction includes pinned, nonmagnetic spacer, and free layers. The free layer has a width of not more than twenty nanometers and is switchable when a write current is passed through the magnetic junction.
    Type: Application
    Filed: July 20, 2012
    Publication date: January 23, 2014
    Inventors: Chang-Man Park, Dustin William Erickson, Mohamad Towfik Krounbi
  • Patent number: 8623765
    Abstract: A processed object processing apparatus which enables a plurality of processes to be carried out efficiently. A plurality of treatment systems are communicably connected together in a line and in which the objects to be processed are processed. A load lock system is communicably connected to the treatment systems and has a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems. At least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Gaku Takahashi
  • Publication number: 20130098761
    Abstract: A system is provided for etching patterned media disks for hard drive. The modular system may be tailored to perform specific processes sequences so that a patterned media disk is fabricated without removing the disk from vacuum environment. In some sequence the magnetic stack is etched while in other the etch is performed prior to forming the magnetic stack. In a further sequence ion implantation is used without etching steps. For etching a movable non-contact electrode is utilized to perform sputter etch. The cathode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched.
    Type: Application
    Filed: December 12, 2012
    Publication date: April 25, 2013
    Applicant: INTEVAC, INC.
    Inventor: Intevac, Inc.
  • Patent number: 8303764
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: November 6, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 8293066
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: October 23, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Publication number: 20120190207
    Abstract: A plasma processing apparatus performs plasma process by using a hydrogen radical generated by plasma-exciting a process gas containing hydrogen on a substrate to be processed. A high-frequency antenna includes an antenna device that is configured to resonate at a half-wavelength of high-frequency power applied from the high-frequency power source by opening two ends of the antenna device and grounding a center point of the antenna device. A barrier wall member for separating a plasma generating chamber and a plasma processing chamber includes a plurality of plate-shaped members having a plurality of openings through which the hydrogen radical passes, formed of an insulating material through which UV light does not pass, and overlapping each other at a predetermined interval, wherein the openings of one plate-shaped member are provided not to overlap the openings of another plate-shaped member.
    Type: Application
    Filed: January 24, 2012
    Publication date: July 26, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi NISHIMURA, Shigeru TAHARA
  • Patent number: 8193011
    Abstract: A thin film deposition apparatus and an organic light-emitting display device by using the same. The thin film deposition apparatus includes an electrostatic chuck, a plurality of chambers; at least one thin film deposition assembly; a carrier; a first power source plug; and a second power source plug. The electrostatic chuck includes a body having a supporting surface that contacts a substrate to support the substrate, wherein the substrate is a deposition target; an electrode embedded into the body and applying an electrostatic force to the supporting surface; and a plurality of power source holes formed to expose the electrode and formed at different locations on the body.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: June 5, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Hee-Cheol Kang, Hyun-Sook Park, Jae-Kwang Ryu, Yong-Sup Choi, Yun-Mi Lee, Sang-Soo Kim
  • Patent number: 8137466
    Abstract: A thin film deposition apparatus and an organic light-emitting display device by using the same. The thin film deposition apparatus includes an electrostatic chuck, an a plurality of chambers; at least one thin film deposition assembly; a carrier; a first power source plug; and a second power source plug. The electrostatic chuck includes a body having a supporting surface that contacts a substrate to support the substrate, wherein the substrate is a deposition target; an electrode embedded into the body and applying an electrostatic force to the supporting surface; and a plurality of power source holes formed to expose the electrode and formed at different locations on the body. The plurality of chambers are maintained in a vacuum state. The at least one thin film deposition assembly is located in at least one of the plurality of chambers, is separated from the substrate by a predetermined distance, and is used to form a thin film on the substrate supported by the electrostatic chuck.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: March 20, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Hee-Cheol Kang, Hyun-Sook Park, Jae-Kwang Ryu, Yong-Sup Choi, Yun-Mi Lee, Sang-Soo Kim
  • Patent number: 8097084
    Abstract: A vacuum chamber system for semiconductor processing includes at least two evacuable vacuum chambers for receiving semiconductor elements to be processed, each including a vacuum chamber opening and a vacuum chamber sealing surface, and transfer aspects by which one of the vacuum chambers can be moved relative to another of the vacuum chambers and can be docked with it in a vacuum-tight manner by producing substantially parallel opposite positions of the vacuum chamber sealing surfaces which are subject to possible misalignments. At least one of the vacuum chambers has support aspects which support one vacuum chamber on the other vacuum chamber in the evacuated, docked state.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: January 17, 2012
    Assignee: Vat Holding AG
    Inventors: Friedrich Geiser, Rene Brulc
  • Patent number: 8048259
    Abstract: A plasma processing apparatus which contributes to reducing required time for maintenance and thereby to enhancing the efficiency of processing and that of apparatus operation is to be provided. A vacuum processing apparatus comprises a vacuum vessel in which a substrate-shaped sample is arranged in an internally arranged processing chamber in which the pressure is reduced; a transfer chamber to which the vacuum vessel is linked and through whose inside reduced in pressure the sample is transferred; a passage which establishes communication between the transfer chamber and the vacuum vessel in a state in which the transfer chamber and the processing chamber are linked to each other and through whose inside the sample not yet processed or already processed is transferred; and a covering member which is removably coupled to cover the internal wall face of the passage, wherein the sample is processed within the processing chamber with a plasma formed in the processing chamber.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: November 1, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Michiaki Kobayashi, Tsutomu Nakamura, Takeo Uchino, Akitaka Makino, Masashi Nakagome
  • Patent number: 7901539
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: March 8, 2011
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Kevin P Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 7875156
    Abstract: A probe storage container can supply a probe in a prober apparatus without being exposed to an atmospheric air. Preferably, the probe is stored in the probe storage container by removing an oxide film in a leading end portion of the probe in accordance with a dry treatment using an ion source, for example, without being exposed to the atmospheric air. It is thus possible to replace and attach the probe with respect to the prober apparatus without being exposed to the atmospheric air, avoiding formation of an oxide film on a surface of the probe. Further, a worker attaching the probe to the prober apparatus can work without being directly in contact with the probe, and it is possible to prevent the leading end portion of the probe from being broken. Accordingly, it is possible to stably measure an electric characteristic of a semiconductor device or the like on the wafer.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: January 25, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masanori Gunji, Katsunori Nakajima, Yasuhiko Nara, Tsutomu Saito, Shigeru Izawa
  • Patent number: 7862694
    Abstract: A composite coating device includes first to third processing chambers. The first processing chamber performs an ion beam etching as a pretreatment process in which an ion beam is irradiated on a surface of a magnetic head at a predetermined angle and the surface is removed for a predetermined depth. The second processing chamber performs a magnetron sputter deposition as a shock absorbing coating formation process in which a shock absorbing coating is formed on the pretreated surface. The third processing chamber performs an electron cyclotron resonance plasma chemical vapor epitaxy or a cathode arc discharge deposition as an overcoat formation process in which an overcoat is formed on the shock absorbing coating. A preparation chamber communicates with the first to third processing chambers through opening and closing devices for transferring the magnetic head.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: January 4, 2011
    Assignee: Shimadzu Corporation
    Inventors: Yoshiyuki Konishi, Masahiro Ueda, Masayasu Suzuki
  • Patent number: 7811384
    Abstract: The invention relates to a method and an apparatus for the treatment of substrates, in particular for the coating of plastic containers on a rotary installation. A plurality of treatment devices are arranged on the rotor and pass through a plurality of process phases as a function of their angle position on the rotor. For at least one process phase, the angle position can be set variably as a function of the current rotational speed of the rotor.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: October 12, 2010
    Assignee: Schott AG
    Inventors: Matthias Bicker, Stephan Behle, Andreas Lüettringhaus-Henkel, Gregor Arnold, Juergen Klein
  • Patent number: 7744730
    Abstract: A processing system is described for depositing materials on multiple workpieces (wafers, display panels, or any other workpieces) at a time in a vacuum chamber. Multiple targets, of the same or different materials, may concurrently deposit material on the wafers as the pallet is rotating. Multiple magnets (one for each target) in the magnetron assembly in the sputtering chamber oscillate back and forth across an arc over their respective targets for uniform target erosion and uniform deposition on the wafers.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: June 29, 2010
    Assignee: Tango Systems, Inc.
    Inventors: Ravi Mullapudi, Edward Strepka
  • Publication number: 20100112806
    Abstract: A seed layer is formed on a surface of an insulating film and along a recess of the insulating film, and after a copper wiring is buried in the recess, a barrier film is formed, and an excessive metal is removed from the wiring. On a surface of a copper lower layer conductive path exposed at the bottom of the recess, a natural oxide of the copper is reduced or removed. On a substrate from which the natural oxide is reduced or removed, the seed layer, composed of a self-forming barrier metal having oxidative tendency higher than that of copper or an alloy of such metal and copper, is formed. The substrate is heated after burying copper in the recess. Thus, a barrier layer is formed by oxidizing the self-forming barrier metal. An excessive portion of the self-forming barrier metal is deposited on a surface of the buried copper.
    Type: Application
    Filed: November 30, 2009
    Publication date: May 6, 2010
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Kenji MATSUMOTO, Shigetoshi HOSAKA, Junichi KOIKE, Koji NEISHI
  • Patent number: 7699933
    Abstract: The invention relates to a method and a device which are used for the plasma treatment of work pieces. Said work piece is inserted into an at least partially evacuatable chamber of a treatment station (3) and the work piece is positioned inside the treatment station of retaining elements. At least one operating agent is at least partially impinged upon by a transporting device (44) which is displaced together with the treatment station on a closed and rotating transport path.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: April 20, 2010
    Assignee: KHS Corpoplast GmbH & Co. KG
    Inventors: Michael Lizenberg, Frank Lewis, Hartwig Müller, Klaus Vogel, Gregor Arnold, Stephan Behle, Andreas Lüttringhaus-Henkel, Matthias Bicker, Jürgen Klein
  • Patent number: 7695598
    Abstract: A coater having a substrate cleaning device is disclosed. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus. Some embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: April 13, 2010
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Publication number: 20100003768
    Abstract: Apparatus and methods are provided that enable processing of patterned layers on substrates using a detachable mask. Unlike prior art where the mask is formed directly over the substrate, according to aspects of the invention the mask is made independently of the substrate. During use, the mask is positioned in close proximity or in contact with the substrate so as to expose only portions of the substrate to processing, e.g., sputtering or etch. Once the processing is completed, the mask is moved away from the substrate and may be used for another substrate. The substrate may be cycled for a given number of substrates and then be removed for cleaning or disposal.
    Type: Application
    Filed: June 30, 2009
    Publication date: January 7, 2010
    Applicant: INTEVAC, INC.
    Inventors: Michael S. BARNES, Terry BLUCK
  • Publication number: 20090260977
    Abstract: Planetary carriers (22) for workpieces mounted on a carousel (19) are provided within a vacuum chamber. A source (24) for a cloud comprising ions (CL) is provided so that a central axis (ACL) of the cloud intercepts the rotary axis (A20) of the carousel (19). The cloud (CL) has an ion density profile at the moving path (T) of planetary axes (A22) which drops to 50% of the maximum ion density at a distance from the addressed center axis (ACL) which is at most half the diameter of the planetary carriers (22). When workpieces upon the planetary carriers (22) are etched by the cloud comprising ions material which is etched off is substantially not redeposited on neighboring planetary carriers but rather ejected towards the wall of the vacuum chamber.
    Type: Application
    Filed: April 21, 2009
    Publication date: October 22, 2009
    Applicant: Oerlikon Trading AG, Truebbach
    Inventors: Siegfried Krassnitzer, Oliver Gstoehl, Markus Esselbach
  • Publication number: 20090145752
    Abstract: A system is provided for etching patterned media disks. A movable electrode is utilized to perform sputter etch. The electrode moves to near or at slight contact to the substrate so as to couple RF energy to the disk. The material to be etched may be metal, e.g., Co/Pt/Cr or similar metals. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched. An isolation valve is disposed between the two chambers and the disk carrier moves the disks between the chambers. The carrier may be a linear drive carrier, using, e.g., magnetized wheels and linear motors.
    Type: Application
    Filed: December 5, 2008
    Publication date: June 11, 2009
    Applicant: INTEVAC, INC.
    Inventors: Michael S. Barnes, Terry Bluck
  • Publication number: 20090014324
    Abstract: A method and apparatus for removing volatile residues from a substrate are provided. In one embodiment, a method for volatile residues from a substrate includes providing a processing system having a load lock chamber and at least one processing chamber coupled to a transfer chamber, treating a substrate in the processing chamber with a chemistry comprising halogen, and removing volatile residues from the treated substrate in the load lock chamber.
    Type: Application
    Filed: August 29, 2008
    Publication date: January 15, 2009
    Inventors: Mark Naoshi Kawaguchi, Kin Pong Lo, Brett Christian Hoogensen, Sandy M. Wen, Steven H. Kim
  • Patent number: 7431813
    Abstract: Sealing structure provided between a transfer chamber and a chamber, such as a process chamber, connected to the transfer chamber includes an insert member, a docking member, and annular seals. The insert member is fixed to the exterior of the transfer chamber and the docking member is fixed to the insert member. The docking member has an extension received in a passageway of the process chamber, a support portion received in the insert member, and a flange received in a passageway of the transfer chamber. The extension, support portion and flange have different outer diameters in cross section such that inclined surfaces extend between the outer peripheral surfaces of the flange, the support portion and the extension. The annular seals are disposed along the inclined surfaces, and the extension prevents the annular seal from being damaged by plasma used to process a substrate in the process chamber.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: October 7, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyoung-Seok Yang
  • Patent number: 7413639
    Abstract: The invention relates to an energy and media connection module for coating installations. Said module serves for supplying with cooling water, compressed air, process gases, signal, control and cathode power. It can be moved from one coating chamber to another coating chamber along a coating line by a single person in a short time. Further, it is possible to separate the energy connection module from a coating chamber for maintenance or displacement purposes without mechanically demounting all connections.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: August 19, 2008
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Guido Hattendorf, Gert Rödling, Gerhard Rist
  • Patent number: 7381969
    Abstract: A control for pressurizing a load lock. The control initiates pressurization of the loadlock interior by coupling a source of gas to the loadlock interior. A representative load lock includes a pressure sensor and multiple valves to atmosphere where at least one such valves is a passthrough valve for removal of and insertion of workpieces from and into a load lock interior. A second fast acting valve also opens to atmosphere. A pressure rise inside the loadlock interior is monitored and when the pressure reaches a threshold pressure above atmosphere the fast acting valve is opened to atmosphere. This second fast acting valve is configured to relieve overpressure from the passthrough valve prior to opening of said passthrough valve. Workpiece movement is accomplished with the aid of a robot which reaches into the loadlock interior as it is either depositing workpieces or retrieving them.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: June 3, 2008
    Assignee: Axcelis Technologies, Inc.
    Inventors: Tariq Fasheh, James Carroll, Klaus Petry, Dale Stone, Lyudmila Stone, Dave Wiederspahn
  • Publication number: 20080121620
    Abstract: A processing system includes a chamber. A plurality of processing stations in a center region in the chamber can be sequentially positioned when viewed in a first direction. The plurality of processing stations is configured to provide at least one processing step selected from the group consisting of thermal evaporation, thermal sublimation, sputtering, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), ion etching, or sputter etching. A plurality of substrates in the chamber can be sequentially positioned when viewed in the first direction. At least one of the plurality of substrate comprises a receiving surface configured to receive the at least one processing step from the plurality of processing stations.
    Type: Application
    Filed: November 24, 2006
    Publication date: May 29, 2008
    Inventors: G. X. Guo, K. A. Wang
  • Patent number: 7300557
    Abstract: The invention relates to a device for the targeted application of deposition material onto a substrate, especially for focusing the sputter flux onto a narrow angular range in a PVD-system. The invention is characterized in that the deposition material is directed through a filter structure (90) having several channel-shaped individual structures (60) onto said substrate (30), whereby the streams of material are limited to a narrow angle range.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: November 27, 2007
    Assignee: Scheuten Glasgroep
    Inventors: Patrick Kaas, Volker Geyer
  • Patent number: 7285916
    Abstract: A multi-chamber plasma process system includes a plurality of process chambers, each of which has an inductively coupled plasma generator. The inductively coupled plasma generator is electrically connected to a main power supply through a first impedance matcher. The first impedance matcher has at least one variable inductor. Ignition electrodes of the inductively coupled plasma generators are connected in parallel with an ignition power supply configured as a variable transformer. The variable inductor of the first impedance matcher and the variable transformer of the ignition power supply are controlled by a controller. Each process chamber has a plasma density regulator. Power supply systems, including an ignition power source, a radio frequency (RF) power source, an impedance matcher, etc. can be effectively integrated. As a result, it is possible to decrease the area of facilities and the cost of the system, and to individually control the plasma density of each process chamber.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: October 23, 2007
    Assignee: New Power Plasma Co., Ltd.
    Inventor: Soon-Im Wi
  • Patent number: 7264741
    Abstract: A coater having a substrate cleaning device is disclosed. Also disclosed are methods of processing substrates in a coater equipped with a substrate cleaning device. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: September 4, 2007
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7214274
    Abstract: A dual chamber apparatus including a first chamber and a second chamber which is configured to be coupled to the first chamber at an interface. Each of the first chamber and the second chamber has a transfer opening located at the interface. An insulating plate is located on one of the first chamber and the second chamber at the interface and is configured to have a low thermal conductivity such that the first chamber and the second chamber can be independently controlled at different temperatures when the first chamber and the second chamber are coupled together. Additionally, the apparatus may include an alignment device and/or a fastening device for fastening the first chamber to the second chamber. In embodiments, the insulating plate may be constructed of Teflon. Further, the first chamber may be a chemical oxide removal treatment chamber and the second chamber may be a heat treatment chamber.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: May 8, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Jay Wallace, Thomas Hamelin
  • Patent number: 7039501
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 7032614
    Abstract: A facilities connection box is provided to accommodate pre-plumbing of facilities lines required in connection with an installation of semiconductor device manufacturing equipment. The facilities connection box accommodates termination of double-containment facilities lines that are adapted to carry hazardous materials required for operation of the semiconductor device manufacturing equipment. Each double-containment facilities line is terminated in an isolation compartment of the facilities connection box. Each isolation compartment has an entry port adapted to couple to an incoming double-containment line and an exit port adapted to permit egress from the isolation compartment of a respective outgoing line.
    Type: Grant
    Filed: January 2, 2003
    Date of Patent: April 25, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Alan Rick Lappen, Ronald V. Schauer
  • Patent number: 6887358
    Abstract: An installation for processing wafers with a plurality of fabrication units and a plurality of measurement units as well as a transport system for transporting the wafers, is described. A transport control unit, which detects a capacity utilization of the installation and saves a processing sequence of the wafers, is allocated to the transport system. As a function of these parameters, control instructions are generated in the transport control unit, and can be output to the transport system for controlling the wafer transport procedure.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: May 3, 2005
    Assignee: Infineon Technologies AG
    Inventor: Jürgen Elger
  • Patent number: 6858119
    Abstract: An exemplary mobile plating system is provided for performing a plating process using virtually any known or available deposition technology for coating or plating as substrate. The mobile plating system may include a vacuum chamber positioned in a mobile storage volume, an external vacuum pump, and a control circuitry to control the operation of some or all of the operations of the external vacuum pump. The external vacuum pump is positioned in the mobile storage volume when the mobile plating system is in transit, and is positioned external to the mobile storage volume when the mobile plating system is stationary and in operation. The external vacuum pump may be mounted on a skid, and, in operation, the external vacuum pump couples with the vacuum chamber to assist with producing a desired pressure in the vacuum chamber.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: February 22, 2005
    Assignee: Basic Resources, Inc.
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6858085
    Abstract: An apparatus for sequential and isolated processing of a workpiece comprises a two compartment chamber and a mechanism to transfer the workpiece from one compartment to the other compartment. The transfer mechanism comprises two doors that seal the pathway between the two compartments between movement so that the two compartments are isolated and the workpiece can be processed sequentially and isolatedly in each compartment. The apparatus further comprises components to enable the processing of a workpiece: a delivery system to delivery precursor, a plasma source to generate a plasma and a vacuum pump to maintain a sub-atmospheric pressure. The preferred method of processing a workpiece is to deposit or adsorb a thin layer in the first compartment and then to transfer the workpiece to the second compartment for a reaction or a plasma reaction on the existing thin layer.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: February 22, 2005
    Assignee: Tegal Corporation
    Inventors: Tue Nguyen, Tai Dung Nguyen, Craig Alan Bercaw
  • Patent number: 6852194
    Abstract: Processing apparatus is disclosed, that comprises substrate container holding table that can hold substrate container that contains plurality of target substrates, first transferring chamber, disposed adjacent to the substrate container holding table, that maintains the interior at first pressure, first processing unit group, disposed around the first transferring chamber, that processes target substrate at the first pressure, first transferring mechanism, disposed in the first transferring chamber, that transfers target substrate, second transferring chamber, disposed adjacent to the first transferring chamber, that maintains the interior at second pressure, second processing unit group, disposed around the second transferring chamber, that processes target substrate at the second pressure, and second transferring mechanism, disposed in the second transferring chamber, wherein the first transferring mechanism and/or the second transferring mechanism has at least two transferring arms.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: February 8, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Matsushita, Yasushi Kodashima, Toshikazu Kumai
  • Patent number: 6846380
    Abstract: An apparatus and method for processing a microelectronic substrate comprises a main chamber and a movable boundary. The main chamber comprises a main chamber wall enclosing a main chamber interior. The movable boundary is disposed within the main chamber interior, and is movable between a first position and a second position. At the first position, the movable boundary at least partially defines a sub-chamber in which a substrate can be processed. The sub-chamber is fluidly isolated from the main chamber interior, and provides an environment suitable for a high-pressure processing of the substrate such as cleaning or surface preparation. The sub-chamber can be maintained at a high pressure while the main chamber is maintained at either a low pressure, an atmospheric pressure, or at a vacuum. The apparatus can be directly coupled to an external substrate handling and/or fabrication module, such that the main chamber interior provides a buffer between the sub-chamber and the external module.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: January 25, 2005
    Assignee: The BOC Group, Inc.
    Inventors: C. John Dickinson, Frank Jansen, Daimhin P. Murphy
  • Patent number: 6843883
    Abstract: A vacuum processing apparatus 50 is provided with a bypass line 52 for causing a vacuum transfer chamber 4 and a load-lock chamber 12 to communicate with each other, and a bypass opening and shutting valve 54 for opening and shutting the corresponding bypass line 52, wherein by opening the bypass opening and shutting valve 54, a pressure-reduced state at the vacuum transfer chamber 4 side can be shifted to the load-lock chamber 12 side, and the pressure reduction of the load-lock chamber 12 can be carried out in a short time.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 18, 2005
    Assignee: TDK Corporation
    Inventors: Masanori Kosuda, Yoshihisa Tamagawa, Hideki Ishizaki
  • Patent number: 6827788
    Abstract: A substrate 9 is carried by a carry system in sequence, via a direction-altering chamber 8 to which a plurality of vacuum chambers comprising processing chambers 21 to 24 are hermetically-connected in the perimeter, to the plurality of processing chambers 21 to 24. The carry system carries the substrate 9 horizontally by moving, using a horizontal moving mechanism, a substrate holder 92 which holds two substrate 9 upright in such a way that the plate surface thereof forms a holding angle to the horizontal of between 45° or more and 90° or less. The direction-altering chamber 8 includes a direction altering mechanism 80 that alters the direction of movement by the horizontal movement mechanism. The direction altering mechanism 80 alters the direction of movement by rotating the substrate holder 92 and the horizontal movement mechanism about the vertical rotating axis coincident with the center axis of the direction-altering chamber 8.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: December 7, 2004
    Assignee: Anelva Corporation
    Inventor: Nobuyuki Takahashi
  • Patent number: 6827789
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: December 7, 2004
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullius, David A. Wassen
  • Patent number: 6824617
    Abstract: An input/output valve switching apparatus of a semiconductor manufacturing system minimizes a vibration set up while operating an input/output valve for opening and closing a wafer-transfer passage that connects chambers of the system. The switching apparatus includes a valve actuator having a close port and an open port, a first fluid line connected to the close port, a second fluid line connected to the open port, first flow regulators installed in the first and second fluid lines, respectively, to regulate the flow rate of fluid, and second fluid flow regulators installed in the first and second fluid lines to regulate the flow rate of the fluid that has passed. The second fluid flow regulators can prevent a rapid introduction of the fluid into the actuator.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sik Yang, Jin-Man Kim, Young-Min Min, Chang-Hyun Jo