Etching Patents (Class 204/298.31)
  • Patent number: 11932934
    Abstract: Physical vapor deposition methods for reducing the particulates deposited on the substrate are disclosed. The pressure during sputtering can be increased to cause agglomeration of the particulates formed in the plasma. The agglomerated particulates can be moved to an outer portion of the process chamber prior to extinguishing the plasma so that the agglomerates fall harmlessly outside of the diameter of the substrate.
    Type: Grant
    Filed: September 9, 2022
    Date of Patent: March 19, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Halbert Chong, Lei Zhou, Adolph Miller Allen, Vaibhav Soni, Kishor Kalathiparambil, Vanessa Faune, Song-Moon Suh
  • Patent number: 11923211
    Abstract: A correction data creating method is provided. In the method, a source voltage is sequentially selected among a plurality of source voltages determined in advance and the selected source voltage is supplied to a heater for heating a substrate support. At the source voltage supplied to the heater, a power supplied to the heater is adjusted such that a resistance of the heater becomes a resistance value corresponding to a predetermined first temperature based on temperature conversion data indicating a relationship between the resistance of the heater and a temperature of the heater. A temperature of the substrate support is measured at a position where the heater is disposed as a second temperature. A correction value corresponding to the difference between the predetermined first temperature and the second temperature is calculated, and correction data indicating a corresponding relationship between each of the source voltages and the correction value is created.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: March 5, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroki Endo, Tomohisa Kitayama
  • Patent number: 11923172
    Abstract: Processing chambers with a plurality of processing stations and individual wafer support surfaces are described. The processing stations and wafer support surfaces are arranged so that there is an equal number of processing stations and heaters. An RF generator is connected to a first electrode in a first station and a second electrode in a second station. A bottom RF path is formed by a connection between a first support surface and a second support surface.
    Type: Grant
    Filed: February 8, 2022
    Date of Patent: March 5, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hari Ponnekanti, Tsutomu Tanaka, Mandyam Sriram, Dmitry A. Dzilno, Sanjeev Baluja, Mario D. Silvetti
  • Patent number: 11911809
    Abstract: The present application discloses a preventive maintenance method for a chamber of a metal etching machine. An optimized burning cleaning recipe is added before the chamber is opened, and metal substances remaining on the surface of an electrostatic chuck are removed by adopting a cleaning/pumping down multi-step alternate method. Before the chamber is opened for preventive maintenance, the phenomenon of metal particles remaining on the surface of the electrostatic chuck can be significantly improved, thus solving the downtime problem caused by abnormal backside helium and ensuring the stability of mass production.
    Type: Grant
    Filed: October 3, 2022
    Date of Patent: February 27, 2024
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Minjie Chen, Jin Xu, Zaifeng Tang, Yu Ren
  • Patent number: 11905595
    Abstract: A film deposition apparatus includes a rotary table disposed in a vacuum chamber; multiple stages on each of which a substrate is placeable, the stages being arranged along a circumferential direction of the rotary table; a process area configured to supply a process gas toward an upper surface of the rotary table; a heat treatment area that is disposed apart from the process area in the circumferential direction of the rotary table and configured to heat-treat the substrate at a temperature higher than a temperature used in the process area; and a cooling area that is disposed apart from the heat treatment area in the circumferential direction of the rotary table and configured to cool the substrate.
    Type: Grant
    Filed: February 1, 2021
    Date of Patent: February 20, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Toru Ishii, Yuji Seshimo, Yuichiro Sase
  • Patent number: 11898236
    Abstract: Methods and apparatus for processing a substrate are provided herein. For example, a processing chamber for processing a substrate comprises a sputtering target, a chamber wall at least partially defining an inner volume within the processing chamber and connected to ground, a power source comprising an RF power source, a process kit surrounding the sputtering target and a substrate support, an auto capacitor tuner (ACT) connected to ground and the sputtering target, and a controller configured to energize the cleaning gas disposed in the inner volume of the processing chamber to create the plasma and tune the sputtering target using the ACT to maintain a predetermined potential difference between the plasma in the inner volume and the process kit during the etch process to remove sputtering material from the process kit, wherein the predetermined potential difference is based on a resonant point of the ACT.
    Type: Grant
    Filed: October 20, 2021
    Date of Patent: February 13, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zhiyong Wang, Halbert Chong, John C. Forster, Irena H. Wysok, Tiefeng Shi, Gang Fu, Renu Whig, Keith A Miller, Sundarapandian Ramalinga Vijayalakshmi Reddy, Jianxin Lei, Rongjun Wang, Tza-Jing Gung, Kirankumar Neelasandra Savandaiah, Avinash Nayak, Lei Zhou
  • Patent number: 11819923
    Abstract: A method of forming a conductive powder includes reducing, by a reduction reaction, a conductive powder precursor gas using a plasma to form the conductive powder. The method further includes filtering the conductive powder based on particle size. The method further includes dispersing a portion of the conductive powder having a particle size below a threshold value in a fluid.
    Type: Grant
    Filed: July 30, 2020
    Date of Patent: November 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: You-Hua Chou, Kuo-Sheng Chuang
  • Patent number: 11756807
    Abstract: A heater power feeding mechanism is provided that divides a stage on which a substrate is placed into zones by using a plurality of heaters and can control a temperature of each of the zones. The heater power feeding mechanism includes a plurality of sets of heater terminals connected to any of the plurality of heaters by a segment unit when a set of the heater terminals is made one segment, a heater interconnection, and an interconnection structure configured to connect at least any of the plurality sets of the heater terminals with each other by using the heater interconnection by the segment unit.
    Type: Grant
    Filed: August 11, 2021
    Date of Patent: September 12, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Dai Kitagawa
  • Patent number: 11615947
    Abstract: The present invention provides a magnetron system, comprising a baseplate assembly. The baseplate assembly defining a housing portion and a power feedthrough. A sputtering target is disposed within the housing portion of the baseplate assembly. An electromagnetic assembly is disposed within the housing portion of the baseplate assembly. The electromagnetic assembly comprising a plurality of electromagnet pairs and a plurality of magnet pairs, wherein the plurality of electromagnet pairs and the plurality of magnet pairs are arranged in an alternating order such that at least one electromagnet pair of the plurality of electromagnet pairs is juxtapositioned between two magnet pairs of the plurality of magnet pairs, and at least one magnet pair of the plurality of magnet pairs is juxtapositioned between two electromagnet pairs of the plurality of electromagnet pairs.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: March 28, 2023
    Assignee: OEM Group, LLC
    Inventors: Marc-Andre Lariviere, Juan M. Rios Reyes, Nitin Choudhary, Chao Li, Brendan V. Trang, Christian K. Forgey, Michael S. Correra, William W. Senseman
  • Patent number: 11562889
    Abstract: A plasma processing apparatus includes a chamber having a sidewall and a plasma processing space surrounded by the sidewall, and a first side gas inlet line and a second side gas inlet line configured to introduce at least one gas from the sidewall into the plasma processing space. The first side gas inlet line includes a plurality of first side gas injectors symmetrically arranged along a circumferential direction on the sidewall and configured to introduce the gas in a first direction into the plasma processing space. Further, the second side gas inlet line includes a plurality of second side gas injectors symmetrically arranged along the circumferential direction on the sidewall and configured to introduce the gas in a second direction different from the first direction into the plasma processing space.
    Type: Grant
    Filed: November 27, 2020
    Date of Patent: January 24, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Mayo Uda, Manabu Tsuruta, Keigo Toyoda
  • Patent number: 11387076
    Abstract: Some embodiments include a high voltage waveform generator comprising: a generator inductor; a high voltage nanosecond pulser having one or more solid state switches electrically and/or inductively coupled with the generator inductor, the high voltage nanosecond pulser configured to produce a pulse burst having a burst period, the pulse burst comprising a plurality of pulses having different pulse widths; and a load electrically and/or inductively coupled with the high voltage nanosecond pulser, the generator inductor, and the generator capacitor, the voltage across the load having an output pulse with a pulse width substantially equal to the burst period and the voltage across the load varying in a manner that is substantially proportional with the pulse widths of the plurality of pulses.
    Type: Grant
    Filed: July 29, 2020
    Date of Patent: July 12, 2022
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: Timothy M. Ziemba, Kenneth E. Miller, John G. Carscadden, James R. Prager, Ilia Slobodov
  • Patent number: 10032611
    Abstract: A connection control method in a substrate processing apparatus is provided. The substrate processing apparatus comprises: a depressurized processing room; a susceptor that is provided in the processing room and configured to mount a wafer thereon; a HF high frequency power supply configured to apply a high frequency voltage for plasma generation to the susceptor; a LF high frequency power supply configured to apply a high frequency voltage for a bias voltage generation to the susceptor; and a DC voltage applying unit configured to apply a DC voltage of a rectangle-shaped wave to the susceptor, capable of improving a processing controllability in an etching process. The connection control method comprises controlling connection or disconnection between the susceptor and the LF high frequency power supply and connection or disconnection between the susceptor and the DC voltage applying unit when plasma is generated in the processing room.
    Type: Grant
    Filed: December 27, 2016
    Date of Patent: July 24, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinji Himori, Norikazu Yamada, Takeshi Ohse
  • Publication number: 20150075973
    Abstract: The invention relates to a method of pre-cleaning a semiconductor structure and to associated modular semiconductor process tools. The method includes the steps of: (i) providing a semiconductor structure having an exposed dielectric layer of an organic dielectric material, wherein the dielectric layer has one or more features formed therein which expose one or more electrically conductive structures to be pre-cleaned, in which the electrically conductive structures each include a metal layer, optionally with a barrier layer formed thereon, and the surface area of the exposed dielectric layer is greater than the surface area of the electrically conductive structures exposed by the dielectric layer; and (ii) pre-cleaning the semiconductor structure by performing an Ar/H2 sputter etch to remove material from the exposed electrically conductive structures and to remove organic dielectric material from the exposed dielectric layer.
    Type: Application
    Filed: September 11, 2014
    Publication date: March 19, 2015
    Inventors: ALEX THEODOSIOU, STEVE BURGESS
  • Publication number: 20150037972
    Abstract: Described are cleaning methods for removing contaminants from an electrical contact interface of a partially fabricated semiconductor substrate. The methods may include introducing a halogen-containing species into a processing chamber, and forming an adsorption-limited layer, which includes halogen from the halogen-containing species, atop the electrical contact interface and/or the contaminants thereon. The methods may further include thereafter removing un-adsorbed halogen-containing species from the processing chamber and activating a reaction between the halogen of the adsorption-limited layer and the contaminants present on the electrical contact interface. The reaction may then result in the removal of at least a portion of the contaminants from the electrical contact interface. In some embodiments, the halogen adsorbed onto the surface and reacted may be fluorine. Also described herein are apparatuses having controllers for implementing such electrical contact interface cleaning techniques.
    Type: Application
    Filed: July 29, 2014
    Publication date: February 5, 2015
    Inventors: Michal Danek, Juwen Gao, Aaron Fellis, Francisco Juarez, Chiukin Steven Lai
  • Publication number: 20140353142
    Abstract: In order to easily exchange a depleted dielectric member in a substrate processing apparatus, a faraday shield provided opposite to an antenna across a component member made of a dielectric, a first dielectric member provided opposite to the antenna across the component member and the faraday shield, and a second dielectric member provided opposite to the antenna across the component member, the faraday shield, and the first dielectric member are provided, and the second dielectric member is placed on a protrusion part formed on a vacuum container in the substrate processing apparatus.
    Type: Application
    Filed: December 19, 2012
    Publication date: December 4, 2014
    Inventor: Yukito Nakagawa
  • Patent number: 8864959
    Abstract: Planetary carriers (22) for workpieces mounted on a carousel (19) are provided within a vacuum chamber. A source (24) for a cloud comprising ions (CL) is provided so that a central axis (ACL) of the cloud intercepts the rotary axis (A20) of the carousel (19). The cloud (CL) has an ion density profile at the moving path (T) of planetary axes (A22) which drops to 50% of the maximum ion density at a distance from the addressed center axis (ACL) which is at most half the diameter of the planetary carriers (22). When workpieces upon the planetary carriers (22) are etched by the cloud comprising ions material which is etched off is substantially not redeposited on neighboring planetary carriers but rather ejected towards the wall of the vacuum chamber.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: October 21, 2014
    Assignee: Oerlikon Trading AG, Truebbach
    Inventors: Siegfried Krassnitzer, Oliver Gstoehl, Markus Esselbach
  • Publication number: 20140210337
    Abstract: A radio-frequency antenna includes a linear antenna conductor, a dielectric protective pipe provided around the antenna conductor, and a deposit shield provided around the protective pipe, the deposit shield covering at least one portion of the protective pipe and having at least one opening on any line extending along the length of the antenna conductor. Although the thin-film material adheres to the surfaces of the protective pipe and the deposit shield, the deposited substance has at least one discontinuous portion in the longitudinal direction of the antenna conductor. Therefore, in the case where the thin-film material is electrically conductive, the blocking of the radio-frequency induction electric field is prevented. In the case where the thin-film material is not electrically conductive, an attenuation in the intensity of the radio-frequency induction electric field is suppressed.
    Type: Application
    Filed: August 30, 2011
    Publication date: July 31, 2014
    Applicant: EMD CORPORATION
    Inventors: Yuichi Setsuhara, Akinori Ebe
  • Patent number: 8764952
    Abstract: In a method of irradiating a gas cluster ion beam on a solid surface and smoothing the solid surface, the angle formed between the solid surface and the gas cluster ion beam is chosen to be between 1° and an angle less than 30°. In case the solid surface is relatively rough, the processing efficiency is raised by first irradiating a beam at an irradiation angle ? chosen to be something like 90° as a first step, and subsequently at an irradiation angle ? chosen to be 1° to less than 30° as a second step. Alternatively, the set of the aforementioned first step and second step is repeated several times.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: July 1, 2014
    Assignee: Japan Aviation Electronics Industry Limited
    Inventors: Akinobu Sato, Akiko Suzuki, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki, Takaaki Aoki
  • Publication number: 20140124367
    Abstract: There is provided an apparatus as well as a method for polishing, observing, and additionally polishing a sample in a vacuum with a charged particle beam apparatus furnished with no other apparatus. The charged particle beam apparatus has a vacuum chamber equipped with a liquid bath containing an ion liquid and a supersonic vibration means. With the ion liquid kept in contact with a polishing target area of the sample, supersonic vibration is propagated in the ion liquid to polish the sample. Because the charged particle beam apparatus permits polishing, observation, and additional polishing of the sample in a vacuum without being furnished with any additional apparatus, throughput is improved and the effects of the atmosphere on the sample are prevented.
    Type: Application
    Filed: April 25, 2012
    Publication date: May 8, 2014
    Inventors: Shuichi Takeuchi, Hisayuki Takasu, Asako Kaneko
  • Patent number: 8679307
    Abstract: An apparatus for preparing specimens for microscopy including equipment for providing two or more of each of the following specimen processing activities under continuous vacuum conditions: plasma cleaning the specimen, ion beam or reactive ion beam etching the specimen, plasma etching the specimen and coating the specimen with a conductive material. Also, an apparatus and method for detecting a position of a surface of the specimen in a processing chamber, wherein the detected position is used to automatically move the specimen to appropriate locations for subsequent processing.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: March 25, 2014
    Assignee: E.A. Fischione Instruments, Inc.
    Inventors: Paul E. Fischione, Alan C. Robins, David W. Smith, Rocco R. Cerchiara, Joseph M. Matesa, Jr.
  • Publication number: 20140061033
    Abstract: A method according to one embodiment includes placing a substrate in a chamber; and plasma sputtering the substrate in a presence of a non-zero pressure of a vapor, wherein the vapor at the non-zero pressure is effective to diminish an etch rate of a first material of the substrate. A plasma sputtering apparatus according to one embodiment includes a chamber; a reservoir in the chamber for releasing a vapor at an established rate; a mount for a substrate; and a plasma source.
    Type: Application
    Filed: August 31, 2012
    Publication date: March 6, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert G. Biskeborn, Calvin S. Lo, Cherngye Hwang, Andrew C. Ting
  • Patent number: 8623765
    Abstract: A processed object processing apparatus which enables a plurality of processes to be carried out efficiently. A plurality of treatment systems are communicably connected together in a line and in which the objects to be processed are processed. A load lock system is communicably connected to the treatment systems and has a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems. At least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Gaku Takahashi
  • Publication number: 20130248358
    Abstract: An etching chamber is equipped with an actively-cooled element preferentially adsorbs volatile compounds that are evolved from a polymeric layer of a wafer during etching, which compounds will act as contaminants if re-deposited on the wafer, for example on exposed metal contact portions where they may interfere with subsequent deposition of metal contact layers. In desirable embodiments, a getter sublimation pump is also provided in the etching chamber as a source of getter material. Methods of etching in such a chamber are also disclosed.
    Type: Application
    Filed: October 3, 2011
    Publication date: September 26, 2013
    Applicant: OC OERLIKON BALZERS AG
    Inventor: Juergen Weichart
  • Publication number: 20130220546
    Abstract: An apparatus for formation of element(s) of an electrochemical cell using a complete process. The apparatus includes a first work piece configured to a transfer device, a source of material in fluid form, a reaction region operably coupled to the source of material and a second work piece configured within a distance of the reaction region. The apparatus also has an energy source configured to the reaction region to subject a portion of the material to energy to substantially evaporate the portion of the material within a time period and cause deposition of a gaseous species derived from the evaporated material onto a surface region of the second work piece to form a thickness of material for a component of the solid state electrochemical device and a vacuum chamber to maintain at least the first and second work pieces, the reaction region, and the material within a vacuum environment.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 29, 2013
    Applicant: Sakti 3, Inc.
    Inventor: Sakti 3, Inc.
  • Patent number: 8512586
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of various materials is described. In particular, the GCIB etch processing includes setting one or more GCIB properties of a GCIB process condition for the GCIB to achieve one or more target etch process metrics.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: August 20, 2013
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Christopher K. Olsen, Yan Shao, Ruairidh MacCrimmon
  • Patent number: 8500950
    Abstract: A method includes forming a resist film on an etching target layer disposed on a test substrate, and performing sequential light exposure with a predetermined test pattern on the resist film sequentially at a plurality of areas, while respectively using different combinations of a light exposure amount and a focus value, along with subsequent development, thereby forming resist patterns at the plurality of areas; then etching the etching target layer, removing the resist patterns, and measuring shapes of etched patterns at the plurality of areas by means of a scatterometory technique; and determining a management span of combinations of a light exposure amount and a focus value admissible to obtain an etched pattern with a predetermined shape, with reference to the light exposure amounts and focus values used in the sequential light exposure, the line widths of the resist patterns, and the line widths of the etched patterns.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: August 6, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuo Sawai, Akihiro Sonoda
  • Publication number: 20120279855
    Abstract: A system and method of forming a thin film solar cell with a metallic foil substrate are provided. After forming a semiconductor absorber film over the front surface of the metallic foil substrate a back surface of the metallic foil substrate is treated using a material removal process to form a treated back surface in a process chamber. In one embodiment, the material removal process is performed while depositing a transparent conductive layer over the semiconductor absorber film in the process chamber.
    Type: Application
    Filed: June 26, 2012
    Publication date: November 8, 2012
    Inventors: Mustafa Pinarbasi, Bulent M. Basol
  • Patent number: 8298381
    Abstract: A vacuum process for etching a metal strip running over a backing roll facing a counterelectrode by magnetron sputtering, and a vacuum chamber etching installation implementing the process. A plasma is created in a gas close to the metal strip so as to generate radicals and/or ions that act on the strip, and at least one closed magnetic circuit, the width of which is approximately equal to that of the metal strip, is selected from a series of at least two closed magnetic circuits of different and fixed widths, then the selected magnetic circuit is positioned so as to face the metal strip, and then the etching of the moving metal strip is carried out.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: October 30, 2012
    Assignee: Arcelormittal France
    Inventors: Hugues Cornil, Benoit Deweer, Claude Maboge, Jacques Mottoulle
  • Patent number: 8282844
    Abstract: A method and system of etching a metal nitride, such as titanium nitride, is described. The etching process comprises introducing a process composition having a halogen containing gas, such as Cl2, HBr, or BCl3, and a hydrocarbon gas having the chemical formula CxHy, where x and y are equal to unity or greater.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Hiroyuki Takahashi, Masayuki Sawataishi
  • Publication number: 20120247954
    Abstract: Disclosed is a capacitively-coupled plasma etching apparatus, in which a focus ring is provided surrounding a substrate placing area of a placing table for adjusting a state of plasma. A ring type insulating member is installed along the focus ring between the top surface of the placing table and the bottom surface of the focus ring, and a heat transfer member is installed between the top surface of the placing table and the bottom surface of the focus ring to be closely attached to the top surface and the bottom surface at a position adjacent to the insulating member in a diameter direction of a wafer. During the plasma processing, the heat in the focus ring is transferred to the placing table through the heat transfer member to be cooled down and the amount of sediment attached to the rear surface of the wafer can be reduced.
    Type: Application
    Filed: March 28, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YAMAWAKU, Chishio KOSHIMIZU
  • Patent number: 7951275
    Abstract: Provided is a hollow cathode sputtering target comprising an inner bottom face having a surface roughness of Ra?1.0 ?m, and preferably Ra?0.5 ?m. This hollow cathode sputtering target has superior sputter film evenness (uniformity), generates few arcing and particles, is capable of suppressing the peeling of the redeposited film on the bottom face, and has superior deposition characteristics.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: May 31, 2011
    Assignee: JX Nippon Mining & Metals Corporation
    Inventor: Shiro Tsukamoto
  • Patent number: 7846310
    Abstract: A electromagnet array structure including multiple electromagnetic coils captured in a rigid encapsulant, for example, of cured epoxy resin, to form a unitary free-standing structure which can be placed around the walls of a plasma processing chamber. A liquid cooling coil may also be captured in the encapsulant between the electromagnetic coils. The structure may additionally include water fittings, locating pins, through tubes for chamber bolts, and lifting brackets.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Gillard, Anthony Vesci, Keith A. Miller
  • Publication number: 20100282603
    Abstract: A method and apparatus for making a heated substrate support assembly used in a processing chamber is provided. The processing chamber includes a substrate support assembly, having a first plate and a second plate with grooves disposed therein for receiving one or more heating elements, and a power source for heating the substrate support assembly. A first surface of the first plate and a second surface of the second plate include one or more matching structures disposed thereon, such that both plates can be compressed together by isostatic compression and form into a plate-like structure for supporting a substrate during substrate processing. In another embodiment, the first and second plates are compressed by applying pressure all around. In still another embodiment, compressing the first and second plates is performed at elevated temperature.
    Type: Application
    Filed: July 28, 2010
    Publication date: November 11, 2010
    Inventors: William N. Sterling, John M. White
  • Publication number: 20100230275
    Abstract: In a method in which two anodes are operated alternately opposite each other as plasma discharge anodes and as cathodes for self-cleaning, and the cathodes of the plasma discharge are recurrently briefly reversed in polarity, and an arrangement comprising a cathode and a first and a second anode supplied with voltage by an H-bridge circuit, pole reversal of cathode voltage is effected by a pulse current supply, at least one anode is maintained at positive potential at all times and the other anode intermittently at negative potential during an etching time, and the H-bridge circuit is operationally connected to the pulse current supply, such that at least one anode is at positive potential at all times.
    Type: Application
    Filed: September 25, 2008
    Publication date: September 16, 2010
    Applicant: VON ARDENNE ANLAGENTECHNIK GMBH
    Inventors: Goetz Teschner, Enno Mirring, Johannes Struempfel, Andreas Heisig
  • Publication number: 20100230048
    Abstract: A system for imprint lithography, which includes a substrate, a patterned mask, an imprint applying unit that imprints, via the patterned mask, a pattern into a resist layer on the substrate, and an overlay device that overlays a cladding layer over the substrate.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 16, 2010
    Applicant: International Business Machines Corporation
    Inventors: Matthew E. Colburn, Theodore G. van Kessel, Yves C. Martin, Dirk Pfeiffer
  • Publication number: 20100122901
    Abstract: A system includes a collimated beam source within a vacuum chamber, a condensable barrier gas, cooling material, a pump, and isolation chambers cooled by the cooling material to condense the barrier gas. Pressure levels of each isolation chamber are substantially greater than in the vacuum chamber. Coaxially-aligned orifices connect a working chamber, the isolation chambers, and the vacuum chamber. The pump evacuates uncondensed barrier gas. The barrier gas blocks entry of atmospheric vapor from the working chamber into the isolation chambers, and undergoes supersonic flow expansion upon entering each isolation chamber. A method includes connecting the isolation chambers to the vacuum chamber, directing vapor to a boundary with the working chamber, and supersonically expanding the vapor as it enters the isolation chambers via the orifices. The vapor condenses in each isolation chamber using the cooling material, and uncondensed vapor is pumped out of the isolation chambers via the pump.
    Type: Application
    Filed: May 22, 2009
    Publication date: May 20, 2010
    Applicant: USA as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Leonard M. Weinstein, Karen M. Taminger
  • Publication number: 20100025231
    Abstract: A method for cleaning an optical element of an extreme ultraviolet light source device for removing, from the optical element in a chamber, scattered matter generated together with extreme ultraviolet light by plasma formed through laser beam excitation of a target in the chamber, the method which comprises: making the scattered matter generated by the plasma no larger than nanosize by using solid tin as the target and using a CO2 laser as an excitation source of the solid tin; and imparting, to the scattered matter no larger than the nanosize adhered to the optical element, an effect of overcoming the adherence of the scattered matter.
    Type: Application
    Filed: April 24, 2008
    Publication date: February 4, 2010
    Applicant: KOMATSU LTD.
    Inventors: Masato Moriya, Yoshifumi Ueno, Tamotsu Abe, Akira Sumitani
  • Publication number: 20100006427
    Abstract: A reactor for carrying out an etching method for a stack of masked wafers, using an etching gas, preferably chlorotrifluoride (ClF3), wherein the reactor includes a device for carrying out a plasma process. An etching method for masked wafers, using an etching gas, preferably chlorotrifluoride (ClF3), the wafer being pretreated in a plasma process before an etching process, wherein the wafer pretreatment and the etching process for a stack of wafers take place in a reactor chamber.
    Type: Application
    Filed: May 29, 2006
    Publication date: January 14, 2010
    Inventors: Joachim Rudhard, Christina Leinenbach
  • Publication number: 20100006142
    Abstract: Deposition apparatus for uniformly forming material on a substrate in accordance with an exemplary embodiment is provided. The deposition apparatus includes an energy source, an electrode in a facing, spaced relationship with respect to the substrate, and interface structure joined to the electrode. The interface structure is configured to electrically couple energy from the energy source through and about the interface structure to the electrode for formation of a substantially uniform electric field between the electrode and a predetermined area of the substrate when the interface structure is supplied with energy from the energy source.
    Type: Application
    Filed: July 13, 2009
    Publication date: January 14, 2010
    Inventors: Yang Li, Scott Jones, Vin Cannella, Arun Kumar, Joachim Doehler, Kais Younan
  • Publication number: 20090314635
    Abstract: An organic film and a metal electrode (a cathode film) are formed on an indium tin oxide (ITO) of a substrate. The plasma processing apparatus supplies at least one of a predetermined processing gas for chemically reacting with the organic film and a predetermined inert gas for sputtering the organic film from a gas supply source into a processing container, wherein the metal electrode is used as a mask. The plasma processing gas also supplies microwaves from a microwave generator as energy for exciting the at least one of the predetermined processing gas and the predetermined inert gas. The plasma processing apparatus generates plasma from the at least one of the predetermined processing gas and the predetermined inert gas supplied to the processing container by using electric field energy of the microwaves, and etches the organic film by using the generated plasma.
    Type: Application
    Filed: March 20, 2009
    Publication date: December 24, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki MOYAMA, Tomohiko EDURA
  • Publication number: 20090255809
    Abstract: A method for the continuous cleaning of the surface of a material (2) which is coated with an organic substance. Steps of the method are: introducing the material (2) into a treatment area which is supplied with a gas stream containing oxygen; earthing the material (2); and generating a plasma by imposing an electric field between the surface of the material (2) and at least one dielectric-covered electrode (3), the electric field being pulsed and providing a succession of positive and negative voltage pulses in relation to the material (2). Moreover, the maximum voltage of the positive pulses U+ is greater than the arc-striking voltage Ua, and the maximum absolute value of the voltage of the negative pulses U? is less than the striking voltage Ua+. Also, a generator and a device which are used to carry out this method.
    Type: Application
    Filed: June 16, 2009
    Publication date: October 15, 2009
    Applicant: USINOR
    Inventors: Daniel CHALEIX, Patrick Choquet, Gerard Baravian, Bernard Lacour, Vincent Puech
  • Publication number: 20090236043
    Abstract: A plasma processing apparatus includes a processing gas supplying unit for supplying a desired processing gas to a processing space between an upper electrode and a lower electrode which are disposed facing each other in an evacuable processing chamber. The plasma processing apparatus further includes a radio frequency (RF) power supply unit for applying an RF power to one of the lower and the upper electrode to generate plasma of the processing gas by RF discharge and an electrically conductive RF ground member which covers a periphery portion of the electrode to which the RF power is applied to receive RF power emitted outwardly in radial directions from the periphery portion of the electrode to which the RF power is applied and send the received RF power to a ground line.
    Type: Application
    Filed: March 20, 2009
    Publication date: September 24, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tatsuo MATSUDO, Shinji Himori
  • Publication number: 20090229972
    Abstract: An apparatus for producing features having a surface roughness in a substrate includes, according to one embodiment, a conductive first electrode disposed in opposition to a conductive second electrode, where the first and second electrodes are spaced apart from each other by a distance adapted for generating a microplasma therebetween. The second electrode is a substrate, and the first electrode and the substrate are configured for relative motion in at least two opposing directions. A feature comprising a surface roughness of greater than about 10 nm is formed in the substrate when the microplasma is generated. Preferably the feature has a width of about 300 nm or less. A plurality of the features (e.g., an ordered array of features) may be produced in the substrate, if desired. The substrate may be a silver-coated glass substrate used for surface-enhanced Raman scattering (SERS) analysis of biochemical molecules.
    Type: Application
    Filed: March 12, 2009
    Publication date: September 17, 2009
    Inventors: R. Mohan Sankaran, R. Craig Virnelson
  • Publication number: 20090206055
    Abstract: In a plasma processing apparatus for performing a plasma process on a target substrate, a baffle plate has an opening through which the process passes and partitions the internal space of the processing container into a plasma process space and an exhaust space, the opening being a single continuous slit. The baffle plate is disposed in an annular gas exhaust path around the mounting table, and the slit includes a plurality of linear slit portions extending in a radial direction of the annular baffle plate and a plurality of curved slit portions, each of which interconnects ends of a pair of the adjacent linear slit portions, so that the slit is formed in a wave shape in its entirety.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 20, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tetsuji SATO, Akihiro Yoshimura
  • Patent number: 7569791
    Abstract: An electromagnetic radiation source includes a toroidal chamber that contains an ionizable medium. The electromagnetic radiation source also includes a magnetic core that surrounds a portion of the toroidal chamber. The electromagnetic radiation source also includes a pulse power system for providing pulses of energy to the magnetic core for delivering power to a plasma formed in the toroidal chamber to produce electromagnetic radiation that radiates radially through walls of the toroidal chamber.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: August 4, 2009
    Assignee: Energetiq Technology, Inc.
    Inventors: Donald K. Smith, Matthew M. Besen, Raghuram L. V. Petluri
  • Patent number: 7556718
    Abstract: This invention relates to ionized PVD processing of semiconductor wafers and provides conditions for highly uniform deposition-etch process sequence and coverage capabilities of high aspect ratio (HAR) features within a single processing chamber. A plasma is generated and maintained by an inductively coupled plasma (ICP) source. A deposition process step is performed in which metal vapor is produced from a target of a PVD source. Location and sputter efficiency at the target surface is enhanced by moving a magnet pack to create a traveling or sweeping magnetic field envelope. The target is energized from a DC power supply and pressures effective for an efficient thermalization of the sputtered atoms (30<p<100 mTorr) are maintained in the chamber during deposition. A uniform thickness of the metal on the wafer is produced within each magnet sweeping cycle.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: July 7, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Publication number: 20090169726
    Abstract: A body having a junction contains a ceramics member including alumina in which an inner electrode is embedded, having a bore region extending from a surface to the inner electrode, a surface of a bottom surface of the bore region being made rough, and a terminal hole extending to the inner electrode being provided in a part of the bottom surface; a conductive terminal embedded in the terminal hole, a bottom surface is in contact with the inner electrode, and a top surface is exposed at a horizontal level of the bottom surface of the bore region; a solder junction layer contacting with the bottom surface of the bore region including the top surface; and a conductive connection member so that a lower end surface is in contact with the solder junction layer, a lower portion is inserted into the bore region.
    Type: Application
    Filed: August 28, 2008
    Publication date: July 2, 2009
    Applicant: NGK Insulators, Ltd.
    Inventors: Tomoyuki FUJII, Junya Waki
  • Publication number: 20090159007
    Abstract: A substrate support according to the present invention includes a ceramic base 12 having an upper surface on which a substrate is placed; a first conductive body 16 having a plate-type body, composed of a conductive paste that is sintered, and embedded in an upper side of the ceramic base 12; a second conductive body 18 having a meshed-type body, provided inside the ceramic base 12, and being in contact with a lower surface of the first conductive body 16; and an electrode terminal 20 penetrating a part of the ceramic base 12 from a lower surface of the ceramic base 12 and is connected to the second conductive body 18.
    Type: Application
    Filed: November 13, 2008
    Publication date: June 25, 2009
    Applicant: NGK Insulators, Ltd.
    Inventor: Ikuma MOROOKA
  • Publication number: 20090137128
    Abstract: Disclosed is a substrate processing apparatus including: a reaction tube to accommodate at least one substrate; at least a pair of electrodes disposed outside the reaction tube; and a dielectric member, wherein a plasma generation region is formed at least in a space between an inner wall of the reaction tube and an outer circumferential edge of the substrate, the member includes a main face extending in a radial direction of the substrate and in a substantially entire circumferential direction of the substrate in a horizontal plane parallel to a main face of the substrate, and is disposed in an outer circumferential region of the substrate, and gas activated in the plasma generation region is supplied through a surface region of the main face of the member to the substrate.
    Type: Application
    Filed: April 27, 2006
    Publication date: May 28, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenmei Ko, Rui Harada, Kazuyuki Toyada, Yuji Takebayashi, Takashi Koshimizu, Takeshi Itoh
  • Publication number: 20090120790
    Abstract: Components of semiconductor processing apparatus axe formed at least: partially of erosion, corrosion and/or corrosion-erosion resistant ceramic materials. Exemplary ceramic materials can include at least one oxide, nitride, boride, carbide and/or fluoride of hafnium, strontium, lanthanum oxide and/or dysprosium. The ceramic materials can be applied as coatings over substrates to form composite components, or formed into monolithic bodies. The coatings can protect substrates from physical and/or chemical attack. The ceramic materials can be used to form plasma exposed components of semiconductor processing apparatus to provide extended service lives.
    Type: Application
    Filed: January 7, 2009
    Publication date: May 14, 2009
    Applicant: Lam Research Corporation
    Inventor: ROBERT J. O'DONNELL