Resist Material Applied In Particulate Form Or Spray Patents (Class 216/42)
  • Patent number: 10228493
    Abstract: An optical component has a surface including an optically effective area having an antireflection coating arranged thereon and an adjoining optically non-effective area having a light-shielding coating film arranged thereon. An edge portion of the antireflection coating and an edge portion of the light-shielding coating film overlap with each other to form an overlap area having a width between 0.5 ?m and 50 ?m. The antireflection coating is formed so as to show a thickness decreasing toward an edge thereof in the overlap area.
    Type: Grant
    Filed: April 4, 2017
    Date of Patent: March 12, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Junji Kawasaki
  • Patent number: 9831361
    Abstract: A method of fabricating submicron textures on glass and transparent conductors includes depositing a plurality of silica or silica-coated polystyrene nanospheres onto a substrate, etching the silica coated polystyrene nanospheres and the substrate to form a plurality of nanocone projections on a first side of the substrate, and depositing a transparent conducting oxide onto the substrate on top of the nanocone projections.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: November 28, 2017
    Assignee: Robert Bosch GmbH
    Inventors: Inna Kozinsky, Jonathan P. Mailoa, Yun Seog Lee
  • Patent number: 9469526
    Abstract: The invention relates to conical structures on substrate surfaces, in particular optical elements, to methods for the production thereof and to the use thereof, in particular in optical devices, solar cells and sensors. The conical nanostructures according to the invention are suitable in particular for providing substrate surfaces having very low light reflection.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 18, 2016
    Assignee: Max-Planck-Gesellschaft zur Foerderung der Wissenschaften e.V.
    Inventors: Christoph Morhard, Claudia Pacholski, Joachim P. Spatz
  • Patent number: 9040141
    Abstract: This method for texturing a DLC coating comprises depositing a single layer of balls or spheres on the free surface of the DLC coating; dry-etching the DLC coating using oxygen plasma; and lastly, cleaning the surface of said coating by eliminating the balls or spheres.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: May 26, 2015
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Caroline Chouquet, Cédric Ducros, Jérôme Gavillet, Frédéric Sanchette
  • Patent number: 9017566
    Abstract: A glass article including: at least one anti-glare surface having haze, distinctness-of-image, surface roughness, and uniformity properties, as defined herein. A method of making the glass article includes, for example: depositing deformable particles on at least a portion of a glass surface of the article; causing the deposited deformable particles on the surface to deform and adhere to the surface; and contacting the surface having the adhered particles with an etchant to form the anti-glare surface. A display system that incorporates the glass article, as defined herein, is also disclosed.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: April 28, 2015
    Assignee: Corning Incorporated
    Inventors: Charles Warren Lander, Timothy Edward Myers, Kelvin Nguyen, Alan Thomas Stephens, II
  • Patent number: 8999180
    Abstract: A process of manufacturing a solar cell is provided. The process comprising the steps of: i) ink jet printing an alkali removable water insoluble hot melt ink jet ink onto a substrate comprising a silicon wafer to form a resist image on the substrate; ii) etching or plating the substrate in an aqueous acid medium; and iv) removing the resist image with an aqueous alkali.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: April 7, 2015
    Assignee: Sun Chemical Corporation
    Inventor: Nigel Anthony Caiger
  • Patent number: 8992787
    Abstract: Anode foils suitable for use in electrolytic capacitors, including those having multiple anode configurations, have improved strength, reduced brittleness, and increased capacitance compared to conventional anode foils for electrolytic capacitors. Exemplary methods of manufacturing an anode foil suitable for use in an electrolytic capacitor include disposing a resist material in a predetermined pattern on an exposed surface of an anode foil substrate such that a first portion of the exposed surface of the anode foil substrate is covered by the resist material, and a second portion of the exposed surface remains uncovered; polymerizing the resist material; exposing at least the second portion of the exposed surface to one or more etchants so as to form a plurality of tunnels; stripping the polymerized resist material; and widening at least a portion of the plurality of tunnels. The resist material may be deposited, for example, by ink-jet printing, stamping or screen printing.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: March 31, 2015
    Assignee: Pacesetter, Inc.
    Inventors: David R. Bowen, Ralph Jason Hemphill, Xiaofei Jiang, Corina Geiculescu, Tearl Stocker
  • Publication number: 20150072172
    Abstract: According to one embodiment, there is provided a pattern formation method including forming a target layer to be processed on a substrate, adding a second dispersion containing a polymer material including a polymer chain having a base metal at a terminal end and a second solvent to a first dispersion containing noble-metal microparticles and a first solvent, thereby preparing a noble-metal microparticle layer coating solution in which microparticles covered with the polymer material are dispersed, arranging the noble-metal microparticles covered with the polymer material on the target layer by using the noble-metal microparticle layer coating solution, and transferring a projections pattern of the noble-metal microparticles covered with the polymer material to the target layer.
    Type: Application
    Filed: January 10, 2014
    Publication date: March 12, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kazutaka TAKIZAWA, Kaori Kimura, Akihiko Takeo
  • Patent number: 8961801
    Abstract: In an embodiment, there is provided an imprint lithography method that includes providing a first amount of imprintable medium on a first area of a substrate, the first amount of imprintable medium, when fixed, having a first etch rate; and providing a second amount of imprintable medium on a second, different area of the substrate, the second amount of imprintable medium, when fixed, having a second, different etch rate.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: February 24, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Sander Frederik Wuister, Vadim Yevgenyevich Banine, Johan Frederik Dijksman, Yvonne Wendela Kruijt-Stegeman, Jeroen Herman Lammers, Roelof Koole
  • Patent number: 8951427
    Abstract: A method for manufacturing electrical and/or optical components, wherein a hot melt composition including an alkane based wax and an amorphous material as a masking material is used. The hot melt composition has a melting point of between 40° C. and 85° C. and a viscosity of between 5 and 20 mPa·s at not less than one temperature within the range of between 50° C. and 140° C. A hot melt composition includes between 40 weight % and 89.9 weight % of an alkane based wax; between 10 weight % and 50 weight % of an amorphous material; and between 0.1 weight % and 10 weight % of a phosphonium based ionic liquid. A system and a method for manufacturing electronic and/or optical components is provided, wherein after the etch processes and/or plating processes, the hot melt composition is removed from the substrate with the aid of hot water.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: February 10, 2015
    Assignee: Oce Technologies B.V.
    Inventors: Björn H. A. J. M. Ketelaars, Hylke Veenstra
  • Patent number: 8910380
    Abstract: Described is a process for producing an inkjet printhead comprising an aperture face having an oleophobic surface. The process includes forming an aperture plate by disposing a silicon layer on an aperture plate; using photolithography to create a textured pattern on an outer surface of the silicon layer; and chemically modifying the textured surface by disposing a conformal, oleophobic coating on the textured surface. The oleophobic aperture plate may be used as a front face surface for an inkjet printhead.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: December 16, 2014
    Assignee: Xerox Corporation
    Inventors: Kock-Yee Law, Hong Zhao
  • Patent number: 8881399
    Abstract: A manufacturing method of nozzle plate for liquid ejection head includes, providing a substrate having a first base material of Si and a second base material, of which the etching rate in Si anisotropic dry etching is lower then that of Si, provided on one side of the first base material, forming a film as a second etching mask on the surface of the second base material, forming a second etching mask pattern having a small-diameter opening shape in the second etching mask film, etching until the etching part is extended through the second base material, forming a film as a first etching mask film on the surface of the first base material, forming a first etching mask pattern having a large-diameter opening shape in the first etching mask film, and Si anisotropic dry etching until the etched part is extended through the first base material.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: November 11, 2014
    Assignee: Konica Minolta Holdings, Inc.
    Inventors: Atsuro Yanata, Isao Doi
  • Patent number: 8877076
    Abstract: A substrate treatment apparatus is used for treating a major surface of a substrate with a chemical liquid. The substrate treatment apparatus includes: a substrate holding unit which holds the substrate; a chemical liquid supplying unit having a chemical liquid nozzle which supplies the chemical liquid onto the major surface of the substrate held by the substrate holding unit; a heater having an infrared lamp to be located in opposed relation to the major surface of the substrate held by the substrate holding unit to heat the chemical liquid supplied onto the major surface of the substrate by irradiation with infrared radiation emitted from the infrared lamp, the heater having a smaller diameter than the substrate; and a heater moving unit which moves the heater along the major surface of the substrate held by the substrate holding unit.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: November 4, 2014
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Sei Negoro, Ryo Muramoto, Toyohide Hayashi, Koji Hashimoto, Yasuhiko Nagai
  • Publication number: 20140291287
    Abstract: The present invention refers to a method for selectively structuring of a polymer matrix comprising AgNW (silver nano wires) or CNTs (carbon nano tubes) or comprising mixtures of AgNW and CNTs on a flexible plastic substructure or solid glass sheet. The method also includes a suitable etching composition, which allows to proceed the method in a mass production.
    Type: Application
    Filed: September 28, 2012
    Publication date: October 2, 2014
    Applicant: MERCK PATENT GmbH
    Inventors: Werner Stockum, Oliver Doll, Ingo Koehler, Christian Matuschek
  • Patent number: 8815105
    Abstract: A method for making a master mold used to nanoimprint patterned magnetic recording disks that have chevron servo patterns with minimal defects uses directed self-assembly of block copolymers. A pattern of chemically modified polymer brush material is formed on the master mold substrate. The pattern includes sets of slanted stripes and interface strips between the sets of slanted stripes. A block copolymer material is deposited on the pattern, which results in directed self-assembly of the block copolymer as lamellae perpendicular to the substrate that are formed into alternating slanted stripes of alternating first and second components of the block copolymer. This component also forms on the interface strips, but as a lamella parallel to the substrate. One of the components is then removed, leaving the remaining component as a grid that acts as a mask for etching the substrate to form the master mold.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: August 26, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Elizabeth Ann Dobisz, Ricardo Ruiz, Guoliang Liu, Paul Franklin Nealey
  • Publication number: 20140166615
    Abstract: Mold structures for imprint lithography are provided. Mold chip patterns including patterns for nano structures are disposed on a mold substrate. A trench region is provided between the mold chip patterns. Protrusion portions protrude from a bottom surface of the trench region. The protrusion portions extend along the trench region in a plan view.
    Type: Application
    Filed: July 11, 2013
    Publication date: June 19, 2014
    Inventors: Zin Sig KIM, Hokyun Ahn
  • Patent number: 8753526
    Abstract: The present application relates to a porous thin film having holes, wherein the holes are formed in the top part and/or the bottom part of the thin film and the holes are linked to the pores of the thin film; and the present invention also relates to a production method for a porous thin film having holes, comprising the use of a particle alignment layer as a mold.
    Type: Grant
    Filed: August 9, 2011
    Date of Patent: June 17, 2014
    Assignee: Industry-University Cooperation Foundation Sogang University
    Inventors: Kyung Byung Yoon, Hyun Sung Kim, Myunpyo Hong, Na Pi Ha
  • Patent number: 8691104
    Abstract: A method of controlling wetting characteristics is described. Such method includes forming and configuring nanostructures on a surface where controlling of the wetting characteristics is desired. Surfaces and methods of fabricating such surfaces are also described.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: April 8, 2014
    Assignee: California Institute of Technology
    Inventors: Harold F. Greer, Julia R. Greer
  • Patent number: 8685864
    Abstract: In a method for the treatment of a substrate surface of a flat substrate with a process medium at the substrate underside, the process medium has a removing or etching effect on the substrate surface. The substrates are wetted with the process medium from below in a manner lying horizontally. The upwardly facing substrate top side is wetted or covered with water or a corresponding protective liquid over a large area or over the whole area as protection against the process medium acting on the substrate top side.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: April 1, 2014
    Assignee: Gebr. Schmid GmbH
    Inventor: Christian Schmid
  • Patent number: 8679357
    Abstract: Droplets of resist material are coated using the ink jet method under conditions that: the viscosity of the resist material is within a range from 8 cP to 20 cP, the surface energy of the resist material is within a range from 25 mN/m to 35 mN/m, the amount of resist material in each of the droplets is within a range from 1 pl to 10 pl, and the placement intervals among the droplets are within a range from 10 ?m to 1000 ?m. A mold is pressed against the surface of the substrate in a He and/or a depressurized atmosphere such that: an intersection angle formed between a main scanning direction of the ink jet method and the direction of the lines of the linear pattern of protrusions and recesses, which is an intersection angle when pressing the mold against the surface of the substrate, is within a range from 30° to 90°.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: March 25, 2014
    Assignee: Fujifilm Corporation
    Inventors: Satoshi Wakamatsu, Tadashi Omatsu
  • Patent number: 8671531
    Abstract: A manufacturing method for a Zinc Oxide (ZnO) piezoelectric thin-film with high C-axis orientation comprises the steps of providing a substrate having a base, a SiO2 layer and a Si3N4 layer; forming a bottom electrode layer on the Si3N4 layer; patterning the bottom electrode layer; sputtering a Zinc Oxide layer on the Si3N4 layer and the bottom electrode layer; forming a photoresist layer on the Si3N4 layer and the Zinc Oxide layer; patterning the photoresist layer to reveal the Zinc Oxide layer; forming a top electrode layer on the Zinc Oxide layer and the photoresist layer; removing the photoresist layer and the top electrode layer formed on the photoresist layer, and the top electrode layer formed on the Zinc Oxide layer can be remained; and patterning the Si3N4 layer to form a recess that reveals the base of the substrate.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: March 18, 2014
    Assignee: National Sun Yat-Sen University
    Inventors: I-Yu Huang, Chang-Yu Lin, Yu-Hung Chen
  • Publication number: 20130327742
    Abstract: Nanofibers are formed using electrospray deposition from microfluidic source. The source is brought close to a surface, and scanned in one embodiment to form oriented or patterned fibers. In one embodiment, the surface has features, such as trenches on a silicon wafer. In further embodiments, the surface is rotated to form patterned nanofibers, such as polymer nanofibers. The nanofibers may be used as a mask to create features, and as a sacrificial layer to create nanochannels.
    Type: Application
    Filed: April 8, 2013
    Publication date: December 12, 2013
    Applicant: Cornell Research Foundation, Inc.
    Inventor: Cornell Research Foundation, Inc.
  • Patent number: 8597530
    Abstract: A method of forming a semiconductor device comprises forming a mask pattern over an etch target layer, forming an ion implantation region in the mask pattern through an ion implantation process, and forming an ion non-implantation region within the mask pattern, removing the ion implantation region on a top surface of the ion non-implantation region, removing the ion non-implantation region, and patterning the etch target layer by using spacers that comprise the ion implantation region as an etch mask.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: December 3, 2013
    Assignee: SK hynix Inc.
    Inventor: Min Sub Lee
  • Patent number: 8585913
    Abstract: A printhead and a method of manufacturing a printhead are provided. The printhead includes a polymeric substrate including a surface. Portions of the polymeric substrate define a liquid chamber. A material layer is disposed on the surface of the polymeric substrate. Portions of the material layer define a nozzle bore. The nozzle bore is in fluid communication with the liquid chamber.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: November 19, 2013
    Assignee: Eastman Kodak Company
    Inventors: Kathleen M. Vaeth, John A. Lebens, Constantine N. Anagnostopoulos
  • Publication number: 20130295327
    Abstract: Superhydrophobic films (110) and methods of making such films are disclosed. More specifically, superhydrophobic films having microstructured (102) and nanofeatured (104) surfaces, constructions utilizing such films, and methods of making such films are disclosed.
    Type: Application
    Filed: October 20, 2011
    Publication date: November 7, 2013
    Inventors: Jun-Ying Zhang, Terry L. Smith, Katherine A. Brown, Scott M. Schnobrich, Robert S. Clough
  • Patent number: 8529778
    Abstract: Methods for creating nano-shaped patterns are described. This approach may be used to directly pattern substrates and/or create imprint lithography molds that may be subsequently used to directly replicate nano-shaped patterns into other substrates in a high throughput process.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: September 10, 2013
    Assignees: Molecular Imprints, Inc., Board of Regents, The University of Texas System
    Inventors: Sidlgata V. Sreenivasan, Shuqiang Yang, Frank Y. Xu, Dwayne L. LaBrake
  • Patent number: 8522411
    Abstract: A method of fabricating a piezoelectric resonator includes providing a bottom electrode and a piezoelectric layer coupled to the bottom electrode. A bottom metal layer of a top electrode is deposited on the piezoelectric layer. A top metal layer of the top electrode is deposited on the bottom metal layer. A photoresist layer is deposited on the top metal layer. The photoresist layer is patterned and etched. The top metal layer is patterned and etched while the etched photoresist layer remains. The bottom metal layer is patterned and etched such that an entire perimeter side surface of the top metal layer is recessed relative to a perimeter edge of the bottom metal layer. The etched photoresist layer is removed. A passivation layer is deposited on the top and bottom metal layers such that the top and bottom metal layers are isolated from a subsequent metal etch step.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: September 3, 2013
    Assignee: TriQuint Semiconductor, Inc.
    Inventors: Guillaume Bouche, Ralph N. Wall
  • Patent number: 8512584
    Abstract: An etching method that uses an etch reactant retained within at least a semi-solid media (120, 220, 224, 230). The etch reactant media is applied to selectively etch a surface layer (106, 218, 222). The etch reactant media may be applied to remove metal shorts (222), smearing and eaves resulting from CMP or in failure analysis for uniform removal of a metal layer (218) without damaging the vias, contact, or underlying structures.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: August 20, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Darwin Rusli
  • Patent number: 8501020
    Abstract: A method for making a three-dimensional nano-structure array includes following steps. First, a substrate is provided. Next, a mask is formed on the substrate. The mask is a monolayer nanosphere array or a film defining a number of holes arranged in an array. The mask is then tailored and simultaneously the substrate is etched by the mask. Lastly, the mask is removed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: August 6, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 8465655
    Abstract: A fabrication method for forming polymer nanopillars using an anodic aluminum oxide (AAO) membrane and an imprint process. A substrate is cleaned and a water soluble tape is applied to the substrate to define a coating area. The substrate is spin-coated with a polymer solution and an AAO membrane is placed on top of the coated area on the substrate and turned over whereby a silicon wafer is attached onto the AAO membrane forming an AAO membrane assembly, which is pressed in an imprintor. Then, the AAO membrane assembly is removed from the imprintor, it is disassembled, and the AAO membrane is dissolved in a NaOH solution forming a polymer substrate with nanopillars.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: June 18, 2013
    Assignee: University of Massachusetts
    Inventors: Hongwei Sun, Sai Liu
  • Patent number: 8431034
    Abstract: The present invention relates to nanopore membranes, methods for manufacturing such nanopore membranes, and uses thereof. In the methods for manufacturing the membranes colloidal lithography is used, which results in production of nanosize pores in a short time and on a large scale. The nanopore membranes have a narrow size distribution and are randomly arranged. Furthermore, the inter-pore distance shows very little variation.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: April 30, 2013
    Inventors: Sarunas Petronis, Bengt Kasemo
  • Patent number: 8394282
    Abstract: Adaptive imprint planarization provides a surface having desired shape characteristics. Generally, topography of a first surface is mapped to provide a density map. The density map is evaluated to provide a drop pattern for dispensing polymerizable material on the first surface. The polymerizable material is solidified and etched to provide a second surface having the desired shape characteristics. Additionally, adaptive imprint planarization compensates for parasitic effects of the imprinting process.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: March 12, 2013
    Assignee: Board of Regents, The University of Texas System
    Inventors: Avinash Panga, Sidlgata V. Sreenivasan
  • Publication number: 20130025216
    Abstract: A laminate floor product resembling a hand-scraped hardwood floor is disclosed. The product includes artificial beveled edges, hand-scraping marks, and chatter marks. The floor product may also include rolled or beveled edges with a decorative and/or scratch resistant paper extending to the peripheral edges.
    Type: Application
    Filed: January 31, 2012
    Publication date: January 31, 2013
    Applicant: GIP INTERNATIONAL, LTD
    Inventors: David P. Reichwein, Keith A. Pocock
  • Patent number: 8361339
    Abstract: The present invention provides such a formation method that an antireflection structure having excellent antireflection functions can be formed in a large area and at small cost. Further, the present invention also provides an antireflection structure formed by that method. In the formation method, a base layer and particles placed thereon are subjected to an etching process. The particles on the base layer serve as an etching mask in the process, and hence they are more durable against etching than the base layer. The etching rate ratio of the base layer to the particles is more than 1 but not more than 5. The etching process is stopped before the particles disappear. It is also possible to produce an antireflection structure by nanoimprinting method employing a stamper. The stamper is formed by use of a master plate produced according to the above formation method.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: January 29, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsutomu Nakanishi, Akira Fujimoto, Koji Asakawa, Takeshi Okino, Shinobu Sugimura
  • Patent number: 8318607
    Abstract: A method of performing a single step/single solvent edge bead removal (EBR) process on a photolithography layer stack including a photoresist layer and a top coat layer using propylene glycol monomethyl ether acetate (PGMEA) or a mixture of PGMEA and gamma-butyrolactone (GBL) is disclosed. The single step/single solvent EBR process is compatible with organic and inorganic BARC layers.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: November 27, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Benjamen Michael Rathsack, Mark Howell Somervell
  • Publication number: 20120234793
    Abstract: In a method for the treatment of a substrate surface of a flat substrate with a process medium at the substrate underside, the process medium has a removing or etching effect on the substrate surface. The substrates are wetted with the process medium from below in a manner lying horizontally. The upwardly facing substrate top side is wetted or covered with water or a corresponding protective liquid over a large area or over the whole area as protection against the process medium acting on the substrate top side.
    Type: Application
    Filed: July 28, 2010
    Publication date: September 20, 2012
    Inventor: Christian Schmid
  • Patent number: 8226838
    Abstract: Disclosed are methods of forming polymer structures comprising: applying a solution of a block copolymer assembly comprising at least one block copolymer to a neutral substrate having a chemical pattern thereon, the chemical pattern comprising alternating pinning and neutral regions that are chemically distinct and have a first spatial frequency given by the number of paired sets of pinning and neutral regions along a given direction on the substrate; and forming domains of the block copolymer that form by lateral segregation of the blocks in accordance with the underlying chemical pattern, wherein at least one domain of the block copolymer assembly has an affinity for the pinning regions, wherein a structure extending across the chemical pattern is produced, the structure having a uniform second spatial frequency given by the number of repeating sets of domains along the given direction that is at least twice that of the first spatial frequency.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Charles T. Rettner, Daniel P. Sanders
  • Patent number: 8225472
    Abstract: Forming a thin film acoustic device by patterning a layer of non-conducting material on a first side of a substrate to expose a portion of the first substrate side; depositing layers of conducting material on the layer of non-conducting material and the exposed portion of the first substrate side; depositing a layer of piezoelectric material on the layers of conducting material; depositing and patterning additional layers of material on the layer of piezoelectric material to form a first device electrode; depositing and patterning a masking layer on a second side of the substrate to expose a portion of the second substrate side; etching away the exposed substrate portion to expose the patterned layer of non-conducting material and a portion of the layers of conducting material; and etching away the exposed portion of the layers of conducting material to form a second device electrode.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: July 24, 2012
    Assignee: Agere Systems Inc.
    Inventors: Bradley Paul Barber, Linus Albert Fetter, Harold Alexis Huggins, Ronald Eugene Miller
  • Patent number: 8216483
    Abstract: A super water repellent surface is prepared by arranging plural spherical beads on a substrate surface to form a (N)-th bead layer, etching the substrate surface with the (N)-th bead layer as an etching mask, arranging plural spherical beads, which are larger than the (N)-th beads in diameter, on the substrate surface to form a (N+1)-th bead layer, etching the substrate surface with the (N+1)-th bead layer as an etching mask, removing the beads from the etched substrate surface and coating a fluorine compound on the substrate surface on which a hierarchical concavo-convex structure has been formed. The (N+1)-th bead layer forming step and the (N+1)-th etching step are repeated N times.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: July 10, 2012
    Assignee: Korea Institute of Machinery and Materials
    Inventors: Hyun Eui Lim, Dae Hwan Jung, Jung Hyun Noh, Wan Doo Kim
  • Patent number: 8097176
    Abstract: Methods, materials, and systems for texturizing mold surfaces is disclosed. In one method and system of the invention, a first step involves generating a graphics file of a desired texture pattern. The graphics file is subsequently output to an ink jet printer, which is configured to print using an acid-etch resist ink. The acid-etch resist ink is formulated to provide optimal properties for ink-jet printing, while also providing excellent acid-etch resist and superior handling properties. The acid-etch resist ink is printed onto a sheet of a carrier substrate that allows the acid-etch resist to be transferred to a mold surface, after which the mold surface is etched with a strong acid.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: January 17, 2012
    Assignee: Ikonics Corporation
    Inventors: Toshifumi Komatsu, Jeremy W. Peterson, Alexander S. Gybin
  • Patent number: 8048473
    Abstract: When a mask layer is formed, a first liquid composition containing a mask-layer-forming material is applied on an outer side of a pattern that is desired to be formed (corresponding to a contour or an edge portion of a pattern) to form a first mask layer having a frame shape. A second liquid composition containing a mask-layer-forming material is applied so as to fill a space inside the first mask layer having a frame shape to form a second mask layer. The first mask layer and the second mask layer are formed to be in contact with each other, and the first mask layer is formed to surround the second mask layer. Therefore, the first mask layer and the second mask layer can be used as one continuous mask layer.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: November 1, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hironobu Shoji, Ikuko Kawamata
  • Patent number: 7989152
    Abstract: A method for a magneto-optical photoresist. The method includes applying a magneto-optical photoresist to a surface, and patterning the magneto-optical photoresist by using a magnetic alignment. The magneto-optical photoresist is also patterned by using a photo exposure, wherein the magnetic alignment provides a photo exposure alignment for the photo exposure.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: August 2, 2011
    Assignee: Hitachi Global Storage Technologies, Netherlands B.V.
    Inventor: Vladimir Nikitin
  • Patent number: 7975366
    Abstract: A process to reduce the bevel angle in a mold used to form a write head is disclosed. First, tantalum is used instead of alumina for the yoke area. Then both the tantalum and the alumina areas are simultaneously subjected to reactive ion etching, leading to sloping sidewalls in the alumina area (write pole) while providing near vertical sidewalls in the tantalum (yoke) area. Consequently, the neck height is the same at the cavity floor as it is at the cavity top.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: July 12, 2011
    Assignee: Headway Technologies, Inc.
    Inventors: Donghong Li, Yoshitaka Sasaki
  • Patent number: 7906031
    Abstract: A Method. The method includes forming a substructure, on a substrate, including a feature having a sidewall of a first material and a bottom surface of a second material. Applying a solution including two immiscible polymers and third material to the substructure. The immiscible polymers include a first and second polymer. A selective chemical affinity of the first polymer for the material is greater than a selective chemical affinity of the second polymer for the material. The first polymer is segregated from the second polymer. The first polymer selectively migrates to the at least one sidewall, resulting in the first polymer being disposed between the at least one sidewall and the second polymer. The first polymer is selectively removed. The second polymer remains, resulting in forming structures including the substructure, the third material, and the second polymer. The substructure has a pattern. The pattern is transferred to the substrate.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: March 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Daniel P. Sanders, Ratnam Sooriyakumaran
  • Publication number: 20110020970
    Abstract: The present invention provides a process of etching or plating comprising the steps of: i) ink jet printing an alkali removeable water insoluble hot melt ink jet ink onto a substrate to form a resist image; ii) etching or plating the substrate in an aqueous acid medium; and iv) removing the resist image with an aqueous alkali.
    Type: Application
    Filed: August 6, 2007
    Publication date: January 27, 2011
    Applicant: Sun Chemical Corporation
    Inventor: Nigel Anthony Caiger
  • Publication number: 20100310828
    Abstract: [Object]To provide a substrate processing method capable of forming a concavo-convex structure on a substrate surface while reducing the number of processes. [Solving Means] In a substrate processing method according to the present invention, particles are dispersed on a surface of a substrate, and a concavo-convex structure is formed on the surface of the substrate by etching the surface of the substrate with the particles as a mask and the mask is simultaneously removed by the etching. According to this method, a process of removing the mask from the substrate surface after the concavo-convex structure is formed becomes unnecessary. Accordingly, since the number of processes necessary to form the concavo-convex structure on the substrate surface is largely reduced, it becomes possible to greatly improve productivity.
    Type: Application
    Filed: November 13, 2008
    Publication date: December 9, 2010
    Applicant: ULVAC, INC.
    Inventors: Susumu Sakio, Hideo Takei, Kazuya Saito, Kazuhiro Watanabe, Shinsuke Iguchi, Hiroyuki Yamakawa, Kyuzou Nakamura, Yu-hsin Lin, Huang-choung Chang, Tung-jung Wu
  • Patent number: 7765676
    Abstract: A method for constructing a magnetoresistive sensor using an etch mask that is resistant to the material removal process used to define the sensor width and stripe height. The method may include the use of a Ta etch mask formed under a photoresist mask, and the use of an ion milling process to define the sensor. The etch mask remains substantially intact after performing the ion milling and therefore is readily removed by a later CMP process. The etch mask layer is also very resistant to high temperatures such as those used in a desired atomic layer deposition of alumina, which is used to deposit conformal layers of alumina around the sensor.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: August 3, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Marie-Claire Cyrille, Elizabeth Ann Dobisz, Wipul Pemsiri Jayasekara, Jui-Lung Li
  • Patent number: 7758759
    Abstract: A process for etching a metal or alloy surface which comprises applying an etch-resist ink by ink jet printing to selected areas of the metal or alloy, solidifying the etch-resist ink without the use of actinic light and/or particle beam radiation and then removing the exposed metal or alloy by a chemical etching process wherein the etch-resist ink comprises the components: A) 60 to 100 parts carrier vehicle comprising one or more components which contain at least one metal chelating group; D) 0 to 40 parts colorant; and E) 0 to 5 parts surfactant; wherein the ink has a viscosity of not greater than 30 cPs (mPa·s) at the firing temperature, all parts are by weight and the total number of parts A)+B)+C)=100.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: July 20, 2010
    Assignee: Fujifilm Imaging Colorants Limited
    Inventors: Mark Robert James, David Cottrell
  • Patent number: 7716807
    Abstract: A manufacturing method produces an angular velocity sensor element easily with a high degree of accuracy. The manufacturing method includes forming a number of tuning fork shaped crystal elements on a single crystal wafer by a photo-etching technique, the crystal elements having protrusion ridge line sections in the lengthwise direction of +X faces of the crystal, caused by etching anisotropy. A step is included for forming a metallic film on each side face including both principal planes and said +X face of said tuning fork shaped crystal element of the single crystal wafer. The method also includes dividing the metallic film of the +X face along the protrusion ridge line section and forming first and second sensor electrodes.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: May 18, 2010
    Assignee: Nihon Dempa Kogyo Co., Ltd.
    Inventors: Hideryo Matsudo, Shigeto Yotuya, Jun Katase, Takahiro Ohtsuka
  • Patent number: 7716813
    Abstract: A method is disclosed for independently controlling track width and bevel angle of a write pole tip of a magnetic recording head. The method includes establishing the track width in the pole tip layer material utilizing E-beam lithography. A portion of this pole tip material having the established track width is protected by providing a temporary masking material to make a protected portion. At least one unprotected portion is left exposed to be shaped. This unprotected portion is then beveled to produce at least one beveled portion. The protected portion produces an upper pole tip portion which together with the beveled portion produce an improved pole tip. Also disclosed is a magnetic head having the improved pole tip, and a disk drive having a magnetic head having the improved pole tip.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: May 18, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Kim Y. Lee, Jyh-Shuey Lo