Supported For Nonsignalling Objects Of Irradiation (e.g., With Conveyor Means) Patents (Class 250/453.11)
  • Patent number: 7829867
    Abstract: An apparatus for irradiating blood or blood products, preferably with ultra violet or visible light, to reduce contaminants in the blood or blood products. A removable radiometer having light integrating chambers detects the light intensity, allowing the radiation characteristics of the apparatus to be calibrated. A control circuit uses the measurements to control the delivery of an effective dose of illumination to blood or blood products in a bag or container. One or more light integrating optical chambers in the radiometer allow a single light sensor to sense light across an entire field. Thermistors in the irradiating apparatus or the radiometer or both sense the temperature of photo sensors. The control circuit compensates for temperature-dependant variations in the output of the photo sensors.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: November 9, 2010
    Assignee: CaridianBCT Biotechnologies, LLC
    Inventors: Dennis J. Hlavinka, Terrence M. Cussen, Daniel T. McGinnis
  • Publication number: 20100243914
    Abstract: A scanning system that provides for detection based on supercritical angle fluorescence (SAF) is described. The system provides for the optical coupling of a sample to the scanner in a sandwich structure that uses first and second refractive index matching materials to provide optical coupling through the sandwich arrangement.
    Type: Application
    Filed: March 25, 2010
    Publication date: September 30, 2010
    Inventors: Dirk Kurzbuch, Jim Writser Peter Bakker, Thomas Ruckstuhl, Jonas Melin
  • Publication number: 20100233437
    Abstract: A lithographic machine platform and applications thereof is disclosed. The lithographic machine platform comprises: an electron beam or an ion beam generator generating an electron beam or an ion beam; a substrate supporting platform supporting a substrate; and a precursory gas injector injecting a precursory gas above the substrate. The present invention uses the electron beam or the ion beam to induce the precursory gas to react with the electron beam or the ion beam, and then the precursory gas is deposited on the substrate. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas.
    Type: Application
    Filed: November 17, 2009
    Publication date: September 16, 2010
    Applicant: NATIONAL APPLIED RESEARCH LABORATORIES
    Inventors: Chien-Chao HUANG, Chun-Chi CHEN, Shyi-Long SHY, Cheng-San WU, Fu-Liang YANG
  • Patent number: 7791044
    Abstract: A device for disinfecting publicly-used equipment includes a plurality of reflective units disposed along the interior of each wall of the device. Each of the reflective units can include a reflective back section and at least three reflective sections disposed about the reflective back section. UV lamps can be disposed to extend along the walls, and at partially disposed adjacent to a one or more reflective back sections of the reflective units. The UV lamps together with the reflective units collectively direct sufficient UV light on the equipment such that the equipment can be disinfected. The walls and ceiling of the device define a tunnel into which the equipment to be disinfected is inserted. Optionally, the device can include a door to prevent children and others from entering the tunnel while the UV lamps are illuminated.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: September 7, 2010
    Assignee: Yorba Linda Enterprises, LLC
    Inventors: Thomas L. Taylor, Pat Hilt
  • Patent number: 7763864
    Abstract: A method and device for accurately and reproducibly positioning a woman's breast to receive radiation while the woman is positioned in a prone, face-down position upon a radiation treatment table are disclosed. The treatment table is useable with radiation accelerator tables of existing linear accelerator machines. The treatment table includes a generally flat patient support surface having an opening that allows the patient's breast to fall dependently below the support surface through the opening yet remain above the radiation accelerator table such that the breast can be irradiated for therapy or treatment. The platform further includes a head positioning device connected to the support surface such that a woman's head can be placed in the positioning device while she lies in the prone position and such that one or both of her breasts can be accurately and reproducibly positioned for treatment through the opening.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: July 27, 2010
    Assignee: New York University
    Inventor: Silvia C. Formenti
  • Patent number: 7749434
    Abstract: The invention refers to a device (1) and method for sterilizing partly formed packages (6) in a packaging machine. The device (1) comprises an inner chamber (2) and an outer chamber (3), the inner chamber (2) being provided with a sterilization unit (5). Further, it comprises a carrier unit (10), comprising a separating member (11) and a package carrying member (12), which is being adapted to rotate between a first position in which the package carrying member (12) is located in the outer chamber (3), and in which the separating member (11) separates the inner chamber (2) from the outer chamber (3), and a second position in which the carrier unit (10) has rotated a package (6) into the inner chamber (2) and in which the separating member (11) separates the inner chamber (2) from the outer chamber (3).
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: July 6, 2010
    Assignee: Tetra Laval Holdings & Finance S.A.
    Inventors: Lars Ake Naslund, Goran Hermodsson, Lars Martensson, Arun Deivasigamani, Paul Anderson
  • Publication number: 20100155621
    Abstract: The invention relates to method and apparatus for treatment of solid cancer. More particularly, the invention comprises a multi-axis and/or multi-field charged particle cancer therapy system. The system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered beam energy, delivered beam intensity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue.
    Type: Application
    Filed: February 28, 2010
    Publication date: June 24, 2010
    Inventor: Vladimir Balakin
  • Patent number: 7741617
    Abstract: There is disclosed a fluid treatment device comprising a housing for receiving a flow of fluid. The housing comprises a fluid inlet, a fluid outlet, a closed fluid treatment zone disposed between the fluid inlet and the fluid outlet. Disposed in the housing is at least one elongate radiation source assembly having a longitudinal axis disposed in the fluid treatment zone substantially parallel to a direction of the flow of fluid through the housing. The radiation source assembly comprises an elongate radiation source disposed in a protective sleeve to define a substantially annular passageway. The protective sleeve has opposed open ends configured to permit heat to exit the passageway and the housing through at least one of the opposed open ends of the sleeve.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: June 22, 2010
    Assignee: Trojan Technologies
    Inventors: Brent Matthews, Jason Cerny, Bradley Pusching
  • Publication number: 20100151601
    Abstract: In an apparatus for curing a seal in an electrophoretic display device according to the present invention, a support having magnetism may be provided on a curing table to be loaded with an electrophoretic display device in order to support the electrophoretic display device while at the same time generating a magnetic force in a direction opposite to a stress caused by a seal material in the electrophoretic display device, thereby preventing the electrophoretic display device from being bent when the seal material is cured.
    Type: Application
    Filed: December 11, 2009
    Publication date: June 17, 2010
    Inventors: Duk-Hyun PARK, Jae-Soo PARK
  • Patent number: 7737423
    Abstract: A central dryer for electron beam curing is described which includes a first application unit for the application of a first coating to a web. The central dryer for electron beam curing also includes an irradiation unit in which a first electron beam generator and a second electron beam generator are arranged for the irradiation of the web. The electron beam generators arranged in the irradiation unit have connections for at least one pump system to generate an operating vacuum. In addition to this the central dryer for electron beam curing also has a web guiding system which feeds the web successively but not necessarily in direct succession, to the first application unit, the first electron beam generator, the second electron beam generator.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: June 15, 2010
    Inventors: Dirk Burth, Bengt Laurell
  • Patent number: 7723703
    Abstract: A system for multidirectional electromagnetic wave irradiation of an object to be processed has at least one of a side face irradiation prism system capable of irradiating a top face and one, two or more side faces of the object, and a bottom face irradiation prism system capable of irradiating a bottom face of the object. The side face irradiation prism system has an optical path direction changing prism placed so that each of the optical paths through which electromagnetic waves entering the optical path from above travel extends via the optical path direction changing prism to reach the side face of the object, without being obstructed. In this way, a laser machining apparatus or the like can be implemented which can simultaneously irradiate the faces of the object to be processed with electromagnetic waves with accuracy without moving the object to be processed.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: May 25, 2010
    Assignee: Technical Co., Ltd.
    Inventors: Kazuhide Yamauchi, Kiyoshi Toyamori, Takayoshi Shino, Toru Kato
  • Publication number: 20100117001
    Abstract: The present invention relates to a lithography system in which intensities of individually modulated beams from a multitude of beams are determined, comprising a measuring device with a sensor having a sensor area adapted for simultaneously sensing a plurality of beams and providing an aggregated signal thereof. The beams are individually modulated according to associated temporal blanking patterns. The present invention further relates to a method for calculating individual beam intensities dependent on the measured aggregated signal and the temporal blanking patterns of the beams.
    Type: Application
    Filed: November 5, 2009
    Publication date: May 13, 2010
    Inventor: Alco Looije
  • Patent number: 7705325
    Abstract: A sterilization device for a stethoscope is provided, comprising a cover member and an ultraviolet light source. The stethoscope includes a chestpiece member having a face portion adapted to contact a patient. The cover member is adapted to operably engage the chestpiece member such that the cover member is movable with respect to the face portion and normally biased to cover the face portion. The ultraviolet light source is operably engaged with the cover member and configured to emit ultraviolet radiation for interacting with the face portion only when the cover member is covering the face portion. The ultraviolet light source thereby provides anti-microbial sterilization of at least the face portion of the chestpiece member. An associated apparatus is also provided.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: April 27, 2010
    Inventor: Mark Vestal
  • Publication number: 20100091948
    Abstract: This invention relates generally to treatment of solid cancers. More particularly, the invention relates to a computer controlled patient positioning, immobilization, and repositioning method and apparatus used in conjunction with multi-field charged particle cancer therapy coordinated with patient respiration patterns and further in combination with charged particle beam injection, acceleration, extraction, and/or targeting methods and apparatus.
    Type: Application
    Filed: December 12, 2009
    Publication date: April 15, 2010
    Inventor: Vladimir Balakin
  • Publication number: 20100090125
    Abstract: According to the present invention, oxygen and nitrogen are effectively prevented from mixing into the semiconductor film by doping Ar or the like in the semiconductor film in advance, and by irradiating the laser light in the atmosphere of Ar or the like. Therefore, the variation of the impurity concentration due to the fluctuation of the energy density can be suppressed and the variation of the mobility of the semiconductor film can be also suppressed. Moreover, in TFT formed with the semiconductor film, the variation of the on-current in addition to the mobility can be also suppressed. Furthermore, in the present invention, the first laser light converted into the harmonic easily absorbed in the semiconductor film is irradiated to melt the semiconductor film and to increase the absorption coefficient of the fundamental wave.
    Type: Application
    Filed: November 30, 2009
    Publication date: April 15, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Osamu NAKAMURA, Hironobu SHOJI, Koichiro TANAKA
  • Patent number: 7692159
    Abstract: A system and method for sterilizing a surface on an input device is disclosed. A chamber is configured to enclose an input device such as a keyboard and is configured to be switched between an open and a closed state. A drive unit may be provided to switch the chamber between states. In an embodiment, the drive unit may include a motor. A sensor provides a signal to a controller when the chamber is the closed state and the controller actuates a UV light. In an embodiment, the controller actuates the drive unit and the UV light in response to a trigger. In an embodiment, the trigger may be provided from a change in state in a computer coupled to the input device.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: April 6, 2010
    Assignee: Microsoft Corporation
    Inventors: David M. Lane, Abid Saifee
  • Patent number: 7692170
    Abstract: Disclosed is a radiation apparatus for technical uses, especially a UV crosslinking apparatus of a printing press, coating machine, or similar. Said radiation apparatus comprises at least one radiation source emitting a processing radiation, at least one controllable and particularly wavelength-selective reflector which is assigned to the radiation source and is used for selectively directing the processing radiation onto a substrate that is to be processed or away therefrom, a driving mechanism which is effectively connected to the reflector, and a housing accommodating at least the at least one radiation source and the at least one reflector. At least one first and second radiation source are provided between which the controllable reflector is disposed and which can be operated above all in a separate manner.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: April 6, 2010
    Assignee: Advanced Photonics Technologies AG
    Inventors: Rainer Gaus, Wolfgang Mohr, Guenther Gesell, Thomas Klingenberg
  • Patent number: 7683354
    Abstract: A water treating device includes a cylindrical housing having a longitudinal axis, an inlet and an outlet. Water to be treated enters the inlet, flows through the cylindrical housing and out the outlet. A plurality of elongated UV lamps are disposed in the cylindrical housing. The UV lamps extend generally in the same direction as the longitudinal axis of the cylindrical housing, but are disposed in a non-parallel relationship with the longitudinal axis of the cylindrical housing. Typically one end portion of each of the UV lamps is spaced outwardly from the longitudinal axis of the cylindrical housing. Along the length of each of the UV lamps, the distance between the longitudinal axis of the UV lamps and the longitudinal axis of the cylindrical housing varies.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: March 23, 2010
    Assignee: OTV SA
    Inventors: Pierre Girodet, Christian Vanpeene
  • Patent number: 7671346
    Abstract: A UV curing apparatus and method is provided for enhancing the distribution and application of UV light to UV photo initiators in a UV curable ink, coating or adhesive. The UV curing apparatus and method comprises UV LED assemblies in a first row with the UV LED assemblies spaced from adjacent UV LED assemblies. At least one second row of a plurality of UV LED assemblies are provided next to the first row but with the UV LED assemblies of the second row positioned adjacent the spaces between adjacent UV LED assemblies in the first row thereby to stagger the second row of UV LED assemblies from the UV LED assemblies in the first row. Desirably, the rows of staggered UV LED assemblies are mounted on a panel. UV curable products, articles or other objects containing UV photo initiators that are in or on a web can be conveyed or otherwise moved past the rows of UV LED assemblies for effective UV curing.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: March 2, 2010
    Assignee: Con-Trol-Cure, Inc.
    Inventor: Stephen B. Siegel
  • Publication number: 20100047121
    Abstract: The novel sterilization process disclosed herein still relies on the sterilization action resulting from exposure of the liquids and inner surfaces of the medical article to appropriate temperatures for well-determined times, but the sterilization temperature shall be attained through generation of heat in the article itself and not through heat transfer from outside to inside. This shall be obtained through the generation of a medium to high frequency electromagnetic field, whose characteristics are precisely determined to reach the desired temperature in as little time as possible and to maintain it for the time required for safe sterilization of the article.
    Type: Application
    Filed: February 29, 2008
    Publication date: February 25, 2010
    Applicant: G.E.A.F. S.R.L.
    Inventors: Marco Fabiano, Francesco Di Salvo
  • Patent number: 7663121
    Abstract: An ultraviolet (UV) cure chamber enables curing a dielectric material disposed on a substrate and in situ cleaning thereof. A tandem process chamber provides two separate and adjacent process regions defined by a body covered with a lid having windows aligned respectively above each process region. One or more UV bulbs per process region that are covered by housings coupled to the lid emit UV light directed through the windows onto substrates located within the process regions. The UV bulbs can be an array of light emitting diodes or bulbs utilizing a source such as microwave or radio frequency. The UV light can be pulsed during a cure process. Using oxygen radical/ozone generated remotely and/or in-situ accomplishes cleaning of the chamber. Use of lamp arrays, relative motion of the substrate and lamp head, and real-time modification of lamp reflector shape and/or position can enhance uniformity of substrate illumination.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: February 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thomas Nowak, Juan Carlos Rocha-Alvarez, Andrzej Kaszuba, Scott A. Hendrickson, Dustin W. Ho, Sanjeev Baluja, Tom Cho, Josephine Chang, Hichem M'Saad
  • Patent number: 7642528
    Abstract: A portable electronic device (10) has a customizable housing (20) where a “skin” (22, 72) is provided, wherein the texture and/or color can be changed by a consumer. The portable electronic device (10) is positioned within an apparatus (41, 51, 61) providing a power source for supplying radiant energy such as heat and/or light to the material. The method of customizing a housing (20) encasing electronics of a portable electronic device (10) includes treating the skin (22, 72) within the housing (20) with heat and/or light, and thereby creating at least one of a texture and a color within the skin (22, 72).
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: January 5, 2010
    Assignee: Motorola, Inc.
    Inventors: Michael E. Caine, Thomas E. Gitzinger, Manuel Oliver
  • Publication number: 20090314936
    Abstract: In mass spectrometry which allows ionization of a sample without using any matrix, there are provided (i) a sample target which improves efficiency and stability of the ionization so as to be more practical and (ii) a production method thereof. The sample target includes, as a sample support surface, a surface which is used to support a sample in ionizing the sample on the basis of laser irradiation so as to perform mass spectrometry and which has a finely bumpy structure of an order ranging from nanometer to several dozen micrometer, wherein a face of the sample support surface is coated with metal. Further, the bumpy structure of the sample support surface is preferably arranged so that a plurality of concave portions are regularly formed so as to have an interval of not less than 1 nm and less than 30 ?m. In the sample target, the concave portion has a trench shape, a lattice shape, or a cylindrical or prismatic shape. The sample target is produced in accordance with lithography.
    Type: Application
    Filed: February 24, 2005
    Publication date: December 24, 2009
    Inventor: Yoshinao Okuno
  • Patent number: 7632459
    Abstract: A system and method for controlling operation of an ultraviolet air treatment device including an ultraviolet lamp positioned to treat air within an air handling system that operates in either an on state or an off state. The method includes activating the ultraviolet lamp, and determining the operational state of the air handling system. The ultraviolet lamp is deactivated upon expiration of a predetermined time period during which the air handling system remains in the off state. The predetermined time period is preferably 30-60 minutes, preferably 40 minutes for residential applications.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: December 15, 2009
    Assignee: Honeywell International Inc.
    Inventors: Tracy L. Lentz, Timothy J. Kensok, Jeffrey M. Hammer, Mark E. Stout, Richard N. Metzger, Jon W. Orr
  • Patent number: 7608843
    Abstract: A method and apparatus 300 for better controlling scanning of a workpiece 330 through an ion beam path 306 provide for mounting a workpiece 330 on an elongated member, partially repetitively rotating the elongated member 500 around a point of rotation 368 to make repetitive scans of the workpiece 330 along and arcuate path 504 and bending the elongated member 500 at a joint 322 to move the one and out of the ion beam path 306 to facilitate attachment and removal of individual workpieces 330. A motor 315 used for the rotating may be suspended within a partial vacuum enclosure 304 against gravity for raising and lowering the elongated member and 500 a workpiece 306 for linear vertical scanning.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: October 27, 2009
    Assignee: TEL Epion Inc.
    Inventors: Avrum Freytsis, Matthew C. Gwinn, Eric R. Harrington
  • Patent number: 7598499
    Abstract: In a particle-beam projection processing apparatus a target (41) is irradiated by means of a beam (pb) of energetic electrically charged particles, using a projection system (103) to image a pattern presented in a pattern definition means (102) onto the target (41) held at position by means of a target stage; no elements—other than the target itself—obstruct the path of the beam after the optical elements of the projection system. In order to reduce contaminations from the target space into the projection system, a protective diaphragm (15) is provided between the projection system and the target stage, having a central aperture surrounding the path of the patterned beam, wherein at least the portions of the diaphragm defining the central aperture are located within a field-free space after the projection system (103).
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: October 6, 2009
    Assignee: IMS Nanofabrications AG
    Inventor: Elmar Platzgummer
  • Publication number: 20090218511
    Abstract: The present invention provides a stage apparatus wherein an object is disposed in an atmosphere with a gas pressure lower than atmospheric pressure, and the object can be driven with high accuracy. The stage apparatus that drives a reticle comprises: a vacuum chamber, which forms a space and has an opening; an integrated coarse and fine motion table, which has an electrostatic chuck that holds the object, that, when driven, moves the electrostatic chuck inside the space; a counter mass, which is disposed so that it covers the opening, that is capable of moving because of the reaction force produced when the integrated coarse and fine motion table is driven; and a vacuum cover, which forms a space that houses the counter mass; wherein the space and the space are set to prescribed gas pressures.
    Type: Application
    Filed: March 30, 2009
    Publication date: September 3, 2009
    Applicant: NIKON CORPORATION
    Inventor: Keiichi Tanaka
  • Patent number: 7582881
    Abstract: A method and apparatus for monitoring a level of silicon dioxide in a liquid and removing the silicon dioxide using polishers is disclosed. In an embodiment, two polishers that absorb carbon dioxide and silicon dioxide, but which have a greater affinity for carbon dioxide, are placed in series along a conduit containing the liquid for use in an immersion lithographic apparatus. The upstream polisher absorbs carbon dioxide and silicon dioxide until it is saturated, at which point it desorbs the silicon dioxide in preference for the carbon dioxide. Silicon dioxide continues down the conduit and is absorbed by the downstream polisher. Once the upstream polisher is saturated with carbon dioxide, carbon dioxide present in the liquid flows downstream where it is absorbed by the downstream polisher. A sensor between the polishers senses the presence of carbon dioxide and initiates a request for the one or more of polishers to be cleaned or replaced.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 1, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Franciscus Johannes Herman Maria Teunissen, Raymond Charles Carnahan
  • Patent number: 7579607
    Abstract: An installation for sterilizing articles comprises an enclosure having an inlet opening and an outlet opening, a support device for moving the articles inside the enclosure, and two electron bombardment members disposed on different orientations relative to the articles to be sterilized and inclined relative to the longitudinal axis of the articles.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: August 25, 2009
    Assignee: Serac Group
    Inventors: Guy Dumargue, Bertrand Gruson, Delphine Raynaud
  • Patent number: 7566885
    Abstract: A device (2) for sterilizing a fluid comprising a sterilization zone (4) having an outlet portion (10), comprising at least one aperture (16) through which the fluid may exit the device (2), wherein the sterilization zone (4) is arranged to be irradiated by a source of ultraviolet radiation (18) such that substantially all of the internal surfaces of the outlet portion (10) are directly irradiated by the source of ultraviolet radiation (18) and wherein the source of ultraviolet radiation (18) and the at least one aperture (16) are arranged such that substantially no ultraviolet radiation may be transmitted directly from the source of ultraviolet radiation (18) through the at least one aperture (16). Preferably the device (2) comprises a shower unit. There is also disclosed a method of sterilizing a fluid.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: July 28, 2009
    Inventors: Ian Stewart Helmore, Stephen Neville Croft
  • Publication number: 20090140166
    Abstract: Techniques for low-temperature ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a wafer support mechanism to hold a wafer during ion implantation and to facilitate movement of the wafer in at least one dimension. The apparatus may also comprise a cooling mechanism coupled to the wafer support mechanism. The cooling mechanism may comprise a refrigeration unit, a closed loop of rigid pipes to circulate at least one coolant from the refrigeration unit to the wafer support mechanism, and one or more rotary bearings to couple the rigid pipes to accommodate the movement of the wafer in the at least one dimension.
    Type: Application
    Filed: February 5, 2009
    Publication date: June 4, 2009
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: Richard S. MUKA
  • Patent number: 7511281
    Abstract: This invention relates generally to methods and apparatuses for the treatment of liquids and gases using ultraviolet light. In one embodiment, a substantially enclosed chamber coated with a reflective material containing an ultraviolet lamp and an ultraviolet transmissive tube running through the chamber for the treatment of liquid passed therethrough is disclosed.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: March 31, 2009
    Assignee: Ultraviolet Sciences, Inc.
    Inventor: James Randall Cooper
  • Patent number: 7511283
    Abstract: The invention relates to a UV-lamp sterilizing appliance that is used to destroy bacteria. The appliance presents a simple design which can facilitate the sterilization of any elongate personal article such as toothbrush, chopsticks and the like for sanitation by simply putting them into the appliance. The appliance also includes a protective shield surrounding the UV-lamp. The shield is designed to prevent any damage to the user's sight from the leakage of the UV-lamp radiation and also to retain the capacity to destroy bacteria sufficiently. The shield also protects the lamp from damage. Thereby the appliance according to the invention can be used easily and safeguards the user's health.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: March 31, 2009
    Inventor: Yue Lai Chor
  • Patent number: 7507973
    Abstract: An apparatus for treating fluids with UV comprises a housing for receiving a flow of fluid having a fluid inlet, a fluid outlet, a reaction chamber; and an assembly of UV sources positioned substantially perpendicular to the fluid. The assembly includes at least first and second pairs of UV sources located in the reactor chamber. The first pair of UV sources has upper and lower UV sources that are positioned relative to each other at a span that is greater than the span between the upper and lower UV sources in the second pair. The second pair is positioned in either the upstream or downstream flow of fluid such that the plane created by the upper UV sources of each pair of UV sources intersects with the plane created by the lower UV sources of each pair of UV sources Subsequent UV sources or pairs of UV sources may be advantageously used. The apparatus may be combined with a baffle arrangement wherein the baffles are preferably positioned in such a way to direct the fluid now into the treatment area.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: March 24, 2009
    Assignee: Calgon Carbon Corporation
    Inventor: Keith G. Bircher
  • Patent number: 7498004
    Abstract: Ultraviolet radiation is used to disinfect air (105) in a flow tube (110), where the flow tube (110) includes total internal reflecting features (120) on a portion of its external surface and said ultraviolet radiation propagates through a portion of the flow tube via total internal reflection.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: March 3, 2009
    Assignee: Honeywell International Inc.
    Inventor: Robert J. Saccomanno
  • Patent number: 7485258
    Abstract: A method and device for sterilizing containers in which a plasma treatment is executed through excitation of an electromagnetic oscillation so that the plasma is excited in a vacuum in the vicinity of the container regions to be sterilized. Between arrival and discharge, the container regions to be sterilized are moved closer to the oscillation-generating device in the chamber, with continuous movement of the container and/or of the oscillation-generating device for one or more predetermined time intervals in such a way that a plasma is excited in these regions inside and/or outside the container. The chamber is provided with a transport apparatus inside it, which produces an essentially rotating motion of the container during the transport from the arrival to the discharge in the chamber.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: February 3, 2009
    Assignee: Robert Bosch GmbH
    Inventors: Kurt Burger, Guenter Schneider, Thomas Beck, Wolfgang Szczerba, Bernd Wilke, Johannes Rauschnabel, Sascha Henke, Bernd Goetzelmann, Heinrich Van De Loecht, Wolfgang Schmitt
  • Publication number: 20080296512
    Abstract: A portable electronic device (10) has a customizable housing (20) where a “skin” (22, 72) is provided, wherein the texture and/or color can be changed by a consumer. The portable electronic device (10) is positioned within an apparatus (41, 51, 61) providing a power source for supplying radiant energy such as heat and/or light to the material. The method of customizing a housing (20) encasing electronics of a portable electronic device (10) includes treating the skin (22, 72) within the housing (20) with heat and/or light, and thereby creating at least one of a texture and a color within the skin (22, 72).
    Type: Application
    Filed: May 30, 2007
    Publication date: December 4, 2008
    Applicant: MOTOROLA, INC.
    Inventors: Michael E. Caine, Thomas E. Gitzinger, Manuel Oliver
  • Patent number: 7459695
    Abstract: Apparatus, systems and methods are disclosed for treating a biological fluid with light. A container of biological fluid is introduced into a fluid treatment chamber where it is contacted with light provided by one or more light sources in proximity to the fluid treatment chamber. A drawer for holding containers of biological fluid introduces the containers into the chamber. Containers for holding the biological fluid are marked by the apparatus to indicate the status of the treatment.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: December 2, 2008
    Assignees: Fenwal, Inc., Cerus Corporation
    Inventors: Kathleen A. Hanley, George D. Cimino, Peter R. H. Stark, Wendy M. Power, Paul A. Franzosa, Daniela Homza Stark, David F. Beittel, Peyton S. Metzel
  • Publication number: 20080290291
    Abstract: In view of the fact that in line processing, when processing is performed to a certain depth, the processing does not advance with the passage of a further processing time, a processing apparatus is provided which can appropriately control the depth of grooves in linear groove processing and perform the processing at high speed. A line width and line depth are calculated so as to minimize a processing time of processing on a line to a required depth and processing is performed using the width and line depth as set values of processing. Furthermore, processing is performed with the area in which the beam is actually irradiated superimposed on the scanned image of a focused ion beam and displayed on a screen. In the case of an ion beam inclined with respect to the sample surface, processing is also performed by displaying the area where the beam is actually irradiated by taking the inclination of the sample with respect to the beam into consideration.
    Type: Application
    Filed: May 21, 2008
    Publication date: November 27, 2008
    Applicant: Hitachi High-Technologies Corporation
    Inventor: Hiroyasu KAGA
  • Publication number: 20080169428
    Abstract: The device comprises a chamber in which a UV radiation source and an enclosure are arranged, the enclosure comprising a bottom wall for mounting a specimen, a UV radiation filter facing the bottom wall and a plurality of sidewalls interconnecting the bottom wall and the UV radiation filter.
    Type: Application
    Filed: January 17, 2008
    Publication date: July 17, 2008
    Applicant: ATLAS MATERIAL TESTING TECHNOLOGY GMBH
    Inventor: Artur Schoenlein
  • Patent number: 7397041
    Abstract: Apparatus for sanitizing objects by use of ultraviolet light includes an enclosed container defining a first object entry opening and a second object exit opening. A first inclined slide is mounted within the container and is positioned adjacent to the first entry opening, and a second inclined slide is mounted within the container beneath the first slide and positioned adjacent to the second exit opening. The slides are positioned at angles with respect to horizontal such that the pull of gravity on the objects moving down each of the slides is greater than restraining electrostatic or frictional forces on the objects. A baffle is mounted within the container and is positioned with respect to the slides for redirecting objects from the first slide onto the second slide, and ultraviolet light sources are mounted in the container and positioned above the slides for irradiating the objects as they move down the slides.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: July 8, 2008
    Inventor: Michael C. Leonard
  • Publication number: 20080149849
    Abstract: A collector for propagating incident radiation is disclosed. The collector may comprise a light directing component coupled to a buffer component, a first propagation component coupled to the buffer component and configured to transmit the incident radiation into a collector region through one of a plurality of windows, and an optical transport assembly coupled to an end of the collector region and having a second propagation component. Each light directing component may be configured to redirect the incident radiation from a first direction to a second direction, and the collector region may include a plurality of regions exhibiting a refractive index value that gradually transitions from about 1.5 to about 2.0. The second propagation component may be further configured to retain the incident radiation.
    Type: Application
    Filed: March 4, 2008
    Publication date: June 26, 2008
    Inventor: Eldon J. Nyhart
  • Patent number: 7385204
    Abstract: The inventive system comprises a housing for receiving a flow of fluid. The invention for the first time uses a modular assembly of UV lamps. The modular assembly comprises at least two UV sources substantially parallel to each other and transverse to said flow. In an embodiment, one of the UV sources is disposed in a plane below all such other lamps and adapted to be run at a power higher than that of all such other lamps. The inventive arrangement is combined with a baffle arrangement wherein the baffles are preferably positioned in such a way to direct the fluid flow into the treatment area.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: June 10, 2008
    Assignee: Calgon Carbon Corporation
    Inventors: Keith Bircher, Walter G. Tramposch, Mike Matuszewski, Michael Pietropaoli
  • Publication number: 20080056933
    Abstract: A sterilization apparatus (200) comprises a robot (201), at least one germicidal energy source (202), and at least one motive capability (203). The sterilization apparatus may optionally further comprise numerous additional components, including a filtration unit (204), at least one power source (209), a power connector (210), an environmental sampling device (211), at least one sensor (212), a control system (213), an audio output device (214), a data transmitter (215), a global positioning satellite (GPS) receiver (216), a radio frequency identification (RFID) tag (217), a vacuum device (218), a floor washing device (219), an activator (220), a waterproof housing (221), and/or a padded housing (222).
    Type: Application
    Filed: August 29, 2006
    Publication date: March 6, 2008
    Inventors: Barrett H. Moore, Matthew J. Botos
  • Patent number: 7317193
    Abstract: An ultraviolet water treatment system is provided for treating water moving through a treatment area in a direction D. The system includes a series of ultraviolet modules disposed in side-by-side relationship in the treatment area. Each module includes an ultraviolet lamp and a pair of vertical supports with one support of each module being deemed an upstream support and one support being deemed a downstream support. The upstream supports of the respective modules are non-aligned and at least two of the upstream supports are staggered in the direction D and lie generally in separate transverse planes with respect to direction D.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: January 8, 2008
    Assignee: OTV SA
    Inventor: Pierre Girodet
  • Patent number: 7301626
    Abstract: The invention reduces the loss of fluorescence intensity obtained from a specimen to acquire clear fluorescence images when irradiating the specimen with ultrashort-pulse laser light produced by a laser light source. The invention provides a laser-scanning examination apparatus including a laser light source for producing ultrashort-pulse laser light; a laser light source for producing continuous-wave laser light; a measurement head including an optical scanning unit for scanning the laser light on a specimen and an objective optical system; an imaging unit for detecting return light from the specimen in response to the ultrashort-pulse laser light; and an imaging unit for detecting return light from the specimen in response to the continuous-wave laser light. The laser light sources and one imaging unit are connected to the measurement head by an optical fiber, and the other imaging unit is connected to the measurement head by another optical fiber with a larger core diameter.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: November 27, 2007
    Assignee: Olympus Corporation
    Inventors: Atsuhiro Tsuchiya, Yoshihiro Kawano, Yoshihisa Tanikawa, Tadashi Hirata
  • Patent number: 7285789
    Abstract: A sample substrate adapted for use with electromagnetic excitation light includes a base and a layer system. The layer system includes a multilayer interference coating with at least two layers wherein the thicknesses of the layers ensure that light emitted by a fluorescent sample material disposed on top of said multilayer interference coating is reflected. Light directed to a fluorescent sample material disposed on the substrate causes light to be emitted from the sample. The layer system includes a multilayer interference coating with at least two layers wherein thicknesses of the layers cause separation of the excitation light from the emitted light.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: October 23, 2007
    Assignee: OC Oerlikon Balzers AG
    Inventors: Max Wiki, Johannes Edlinger
  • Patent number: 7282726
    Abstract: An electron beam irradiation apparatus includes a turn-transfer mechanism; a turn-transfer chamber; an electron beam irradiation section; a replacement room configured to bring a target into and out of the turn-transfer chamber; an outer irradiation target holding table configured to form a part of the replacement room, and including an X-ray shielding mechanism, an airtightness maintaining mechanism, and a target holding mechanism; an inner irradiation target holding table, configured to form a part of the replacement room, and including an X-ray shielding mechanism, an airtightness maintaining mechanism, and a target holding mechanism, the inner irradiation target holding table being supported by the turn-transfer mechanism; a turning mechanism configured to turn the turn-transfer mechanism and an elevator mechanism configured to move the turn-transfer mechanism up and down; and a rotation mechanism disposed at the electron beam irradiation section and configured to rotate the target.
    Type: Grant
    Filed: January 4, 2005
    Date of Patent: October 16, 2007
    Assignee: TDK Corporation
    Inventors: Mamoru Usami, Kazushi Tanaka, Yukio Kaneko, Naoyuki Echigo, Akihiko Kizaki, Hiroshi Tominaga, Kunihiko Ozaki
  • Patent number: 7274026
    Abstract: The present invention is related to an apparatus for irradiating products conveyed on a pallet, comprising a beam source (3) for producing a radiation beam, a shielding wall (4) encompassing an irradiation chamber (2) and a revolving cylindrical door (6) having a recess (8) for holding said pallet, for bringing said pallet in and out of the irradiation chamber (2) wherein said high-energy radiation beam is directed towards a lateral side of said revolving door (6), for irradiating said pallet in said recess (8), or brought before said recess (8). The present invention is also related to a process wherein a product pallet is brought into an irradiation chamber (2) through a revolving cylindrical door (6) having a recess (8), and irradiated while in said recess (8) or in front of said recess (8).
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: September 25, 2007
    Assignee: Ion Beam Application S.A.
    Inventors: Jean-Louis Bol, Benoit Mullier, Fréderic Stichelbaut, Glenn Nelson
  • Patent number: 7230255
    Abstract: The present invention provides a photocatalyst sterilizer (200) which draws thereinto water, contained in a water tank (120) and polluted by bacilli and various organic substances, regardless of a water level in the water tank (120) and sterilizes the polluted water using violet rays and through a photocatalyst reaction, thus enhancing a sterilizing ability thereof, and which is easily installed and efficiently used in various appliances, which are necessary to execute sterilizing processes, for example, hot and cold water generators, hot and cold water purifiers, tap water purifiers to be directly coupled to faucet pipes, edible water purifiers, and aquariums.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: June 12, 2007
    Inventor: Jong-Seob Shim