With Foreign Particle Discrimination Circuitry Patents (Class 250/559.41)
  • Patent number: 10877371
    Abstract: A global dynamic detection method for a protective film of a photomask includes: causing the protective film to undergo broadband oscillation; applying a broadband signal to the protective film undergoing multi-frequency oscillation; transforming an optical time-domain signal reflecting off the protective film to obtain a frequency spectrum with multiple resonance frequencies; and detecting the protective film with the frequency spectrum comprehensively to ensure the quality of the protective film. A global dynamic detection system for use with the global dynamic detection method is further provided.
    Type: Grant
    Filed: March 23, 2020
    Date of Patent: December 29, 2020
    Assignee: SOUTHERN TAIWAN UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventor: Yu-Ching Lee
  • Patent number: 10761037
    Abstract: A laser processing device includes a beam splitter disposed between a focusing lens and a protective window, a return light measurement unit configured to measure intensity distribution of a return light reflected from a workpiece and returning to an external optical system via the beam splitter, a storage unit configured to store at least one of normal pattern data representing the intensity distribution of the return light when the protective window is in normal condition and abnormal pattern data representing the intensity distribution of the return light when the protective window is contaminated, a processing unit configured to perform a process of detecting contamination of the protective window during laser processing based on measurement data about the return light and at least one of the normal pattern data and the abnormal pattern data, and a warning unit configured to warn of contamination of the protective window in accordance with the process.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: September 1, 2020
    Assignee: Fanuc Corporation
    Inventor: Takashi Izumi
  • Patent number: 10705022
    Abstract: An optical head for a Raman spectroscopy system includes a housing an input configured to allow input radiation of a selected wavelength into the housing, a first lens disposed in an end of the housing to allow the input radiation to emit from the housing through the first lens and to receive reflected radiation including specular reflection and diffuse reflection, and a second lens disposed in the housing and configured to receive reflected radiation from the first lens. The optical head includes an output configured to receive the reflected radiation from the second lens. The optical head includes a blocking mirror disposed in the housing between the first lens and the second lens. The blocking mirror is configured and positioned to direct the radiation from the input to the first lens. The blocking mirror blocks at least a portion of specular reflection from reaching the second lens but allows diffuse reflection to the reach the second lens.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: July 7, 2020
    Assignee: Goodrich Corporation
    Inventors: David Madsen, Alexander J. Majewski
  • Patent number: 10613024
    Abstract: A water content evaluation apparatus which evaluates water content of a part of an object is provided. A water content at each of irradiation positions of the part of the object is calculated based on a first reflection light of a laser reference beam, having a first wavelength that is not absorbed by water, that is reflected at all the irradiation positions of the object and a second reflection light of a laser measuring beam, having a second wavelength that is absorbed by water, that is reflected at all the irradiation positions of the object. Irradiation positions of the object in which the water content is equal to or larger than at least one predetermined threshold level are output.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: April 7, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Takeshi Fujiyama, Yuuji Terashima
  • Patent number: 10458904
    Abstract: A system for remotely detecting gas concentration is provided. The system includes a plurality of light sources. At least a first one of the light sources generates light having a first wavelength and a first polarization, and at least a second one of the light sources generates light having a second, different wavelength and a second polarization that is orthogonal to the first polarization. The light from the light sources is placed on a common transmission path, and is directed to a target area by a steering mirror. Light reflected from the target area is received and directed to a detector. The detector provides information regarding the time of arrival and amplitude of the received light, allowing range and gas concentration information to be obtained. In some embodiments the detector is an imaging detector, allowing three-dimensional range information to be obtained from the target area from a single light pulse.
    Type: Grant
    Filed: September 28, 2016
    Date of Patent: October 29, 2019
    Assignee: Ball Aerospace & Technologies Corp.
    Inventor: Jarett Levi Bartholomew
  • Patent number: 10422756
    Abstract: A method of evaluating a semiconductor wafer, which has a polished surface, by using a laser surface-inspection device including incident and light-receiving systems, includes evaluating the semiconductor wafer by detecting, as a light point defect, an abnormality of a process-induced defect and a surface-adhered foreign matter present on the polished surface of the semiconductor wafer, on the basis of measurement result obtained by directing incident light to the polished surface of the semiconductor wafer from one incident system and receiving, with a first light-receiving system, radiation light which has been radiated by the incident light being reflected or scattered by the polished surface, measurement result obtained by receiving the radiation light with a second light-receiving system, and measurement result obtained by receiving the radiation light with a third light-receiving system, and at least one of a light-receiving angle and polarization selectivity differs among the first, second and third li
    Type: Grant
    Filed: August 23, 2016
    Date of Patent: September 24, 2019
    Assignee: SUMCO CORPORATION
    Inventor: Keiichiro Mori
  • Patent number: 10408767
    Abstract: A system and method for identifying imperfections in a reflective surface. The reflective surface may be a metal coating on a sheet such as in a galvanization process. The system comprises a laser detector. The laser detector may be part of a triangulation unit. The laser detector takes readings corresponding to the angles of travel of diffuse light of a laser off of different portions of the reflective surface. Imperfections in the surface are identified based on variance in the readings. The method comprises detecting diffuse light of a laser beam off of different portions of the reflective surface, and identifying imperfections based on variances in the angles of travel of the diffuse light detected.
    Type: Grant
    Filed: June 13, 2018
    Date of Patent: September 10, 2019
    Assignee: HATCH LTD.
    Inventor: Owen Pearcey
  • Patent number: 10330606
    Abstract: Disclosed is an inspection apparatus and associated method for measuring a target structure on a substrate. The inspection apparatus comprises an illumination source for generating measurement radiation; an optical arrangement for focusing the measurement radiation onto said target structure; and a compensatory optical device. The compensatory optical device may comprise an SLM operable to spatially modulate the wavefront of the measurement radiation so as to compensate for a non-uniform manufacturing defect in said optical arrangement. In alternative embodiments, the compensatory optical device may be located in the beam of measurement radiation, or in the beam of pump radiation used to generate high harmonic radiation in a HHG source. Where located in in the beam of pump radiation, the compensatory optical device may be used to correct pointing errors, or impart a desired profile or varying illumination pattern in a beam of the measurement radiation.
    Type: Grant
    Filed: August 22, 2017
    Date of Patent: June 25, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Peter Danny Van Voorst, Nan Lin, Sander Bas Roobol, Simon Gijsbert Josephus Mathijssen, Sietse Thijmen Van Der Post
  • Patent number: 10288453
    Abstract: A purpose is to provide a resin encoder scale a cost of which can be reduced by making processing easy by producing the encoder scale as a resin molded piece including a scale pattern. A resin encoder scale is used in a reflection-type optical encoder and a scale pattern for position measurement is provided thereto. In the scale pattern, a low reflection part a surface of which is molded as a rough surface during resin molding and which has low reflectivity of light and a high reflection part a surface of which is molded as a mirror surface during the resin molding and which has higher reflectivity of light than the low reflection part are arranged alternately.
    Type: Grant
    Filed: October 23, 2014
    Date of Patent: May 14, 2019
    Assignees: MAXELL, LTD., NIKON CORPORATION
    Inventors: Mitsunobu Suzuishi, Masataka Shibuya, Hiroshi Tokairin
  • Patent number: 10267745
    Abstract: The disclosed device, which, using an electron microscope or the like, minutely observes defects detected by an optical appearance-inspecting device or an optical defect-inspecting device, can reliably insert a defect to be observed into the field of an electron microscope or the like, and can be a device of smaller scale. The electron microscope, which observes defects detected by an optical appearance-inspecting device or an optical defect-inspecting device, has a configuration incorporating an optimal microscope that re-detects defects, and a spatial filter and a distribution polarization element are inserted at the pupil plane when making dark-field observations using this optical microscope.
    Type: Grant
    Filed: August 10, 2017
    Date of Patent: April 23, 2019
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yuko Otani, Takehiro Tachizaki, Masahiro Watanabe, Shunichi Matsumoto
  • Patent number: 10161915
    Abstract: A method and apparatus for detecting changes in the vibrational mode spectra and/or elasticity of a pellicle without reliance upon visual inspection are provided. Embodiments include providing a pellicle, a lower surface of the pellicle attached to a photomask; directing light from a light source onto an upper surface of the pellicle at an angle to the upper surface; causing a deflection of the pellicle concurrently with the light being directed onto the pellicle; detecting light reflected off of the deflected pellicle; and characterizing a vibrational mode of the pellicle based on the detection.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: December 25, 2018
    Assignee: GLOBALFOUNDRIES
    Inventors: Remi Riviere, Arthur Hotzel
  • Patent number: 9976930
    Abstract: An apparatus and method for measuring thermo-mechanically induced reticle distortion or other distortion in a lithography device enables detecting distortion at the nanometer level in situ. The techniques described use relatively simple optical detectors and data acquisition electronics that are capable of monitoring the distortion in real time, during operation of the lithography equipment. Time-varying anisotropic distortion of a reticle can be measured by directing slit patterns of light having different orientations to the reticle and detecting reflected, transmitted or diffracted light from the reticle. In one example, corresponding segments of successive time measurements of secondary light signals are compared as the reticle scans a substrate at a reticle stage speed of about 1 m/s to detect temporal offsets and other features that correspond to spatial distortion.
    Type: Grant
    Filed: August 5, 2016
    Date of Patent: May 22, 2018
    Assignee: Nikon Corporation
    Inventor: Michael Sogard
  • Patent number: 9859138
    Abstract: Apparatuses and methods for improved substrate defect detection is provided. Substrate defects may be detected, possibly with defect detection equipment such as laser metrology equipment. Defects smaller than the detection limit of the detection equipment may be decorated with a layer of material to increase the effective sizes of the defects. The thickness and composition of the material deposited may be tuned depending on the composition of the substrate and the defects. The composition of the detected defects may be identified with defect identification equipment. The defect identification equipment may be an electron generating apparatus and the composition of the defects may be identified from the interaction of the electrons with the defect. The deposited material may be removed either before or during the defect identification phase to aid in the identification of the defect composition.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: January 2, 2018
    Assignee: Lam Research Corporation
    Inventor: Matthew Davis
  • Patent number: 9779768
    Abstract: A sequential storage media system may include a head for reading or writing data to sequential storage media and a controller communicatively coupled to the head. The controller may be configured to control winding of a tape comprising cleaning media between reels of a cartridge comprising the tape in order to determine an occurrence of an event indicative of a need to clean a head of a sequential storage media system, issue to a user an indication of the occurrence of the event, determine an amount of cleaning for the head based on a bit error rate of input/output communication associated with the head, and responsive to receiving a cleaning cartridge in the sequential storage media system, clean the head of a sequential storage media system in accordance with the amount of cleaning by passing cleaning media of a cartridge over the head.
    Type: Grant
    Filed: February 18, 2016
    Date of Patent: October 3, 2017
    Assignee: Dell Products L.P.
    Inventors: Dina Eldin, Randy M Ortiz
  • Patent number: 9671548
    Abstract: An optical waveguide serves for guiding illumination light. The waveguide has a waveguide main body for guiding the illumination light between a main body entrance region and a main body exit region. At least one coupling-out device is provided in the main body exit region. Via the coupling-out device, at least one coupling-out illumination light partial beam is coupled out from the illumination light emerging from the waveguide main body. This is done such that the coupling-out illumination light partial beam can be separated from the rest of the illumination light beam emerging from the waveguide main body. This results in a waveguide having improved possibilities for use when guiding illumination light.
    Type: Grant
    Filed: August 24, 2015
    Date of Patent: June 6, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Christian Wald, Stefan Schaff, Markus Deguenther, Daniel Runde
  • Patent number: 9551670
    Abstract: A defect inspection apparatus including: a first illumination optical system which is configured to illuminate the inspection area on a sample surface from a normal line direction or a direction near thereof with respect to said sample surface; a second illumination optical system which is configured to illuminate said inspection area from a slant direction with respect to said sample surface; a detection optical system having a plurality of first detectors which are located, in front of, on the sides of, and behind said inspection area, respectively, with respect to the illumination direction of said second illumination optical system, and where the regular reflected light component, from said sample surface, by illumination light of said second illumination optical system, is not converged; and a signal processing system which is configured to inspect a defect, upon basis of signals obtained from said plurality of first detectors.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: January 24, 2017
    Assignees: HITACHI, LTD., HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ichiro Ishimaru, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama
  • Patent number: 9535013
    Abstract: In inspecting a substrate having a transparent oxide film or a metal film formed on a surface thereof by using a dark field type inspection apparatus installing a laser light source, an illuminating beam having a high coherence causes variations in reflection strength due to multiple interferences within the transparent oxide film or an interference of scattered beams due to the surface roughness of the metal film occurs and which leads to degradation in the sensitivity of defect detection. The present invention solves the problem by providing a low-coherence but high-brightness illumination using a highly directive broadband light source, and a system in which the conventional laser light source is simultaneously employed to selectively use the light sources, thereby enabling a highly sensitive inspection according to the condition of a wafer.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: January 3, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Shunichi Matsumoto, Taketo Ueno, Atsushi Taniguchi
  • Patent number: 9518934
    Abstract: Systems and methods for discovering defects on a wafer are provided. One method includes detecting defects on a wafer by applying a threshold to output generated by a detector in a first scan of the wafer and determining values for features of the detected defects. The method also includes automatically ranking the features, identifying feature cut-lines to group the defect into bins, and, for each of the bins, determining one or more parameters that if applied to the values for the features of the defects in each of the bins will result in a predetermined number of the defects in each of the bins. The method also includes applying the one or more determined parameters to the output generated by the detector in a second scan of the wafer to generate a defect population that has a predetermined defect count and is diversified in the values for the features.
    Type: Grant
    Filed: November 3, 2015
    Date of Patent: December 13, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Hong Chen, Kenong Wu, Martin Plihal, Vidur Pandita, Ravikumar Sanapala, Vivek Bhagat, Rahul Lakhawat, Oksen Baris, Rajesh Ramachandran, Naoshin Haque
  • Patent number: 9488596
    Abstract: In defect scanning carried out in a process of manufacturing a semiconductor or the like, a light detection optical system comprising a plurality of photosensors is used for detecting scattered light reflected from a sample. The photosensors used for detecting the quantity of weak background scattered light include a photon counting type photosensor having few pixels whereas the photosensors used for detecting the quantity of strong background scattered light include a photon counting type photosensor having many pixels or an analog photosensor. In addition, nonlinearity caused by the use of the photon counting type photosensor as nonlinearity of detection strength of defect scattered light is corrected in order to correct a detection signal of the defect scattered light.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: November 8, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshifumi Honda, Yuta Urano, Hisashi Hatano
  • Patent number: 9423704
    Abstract: An apparatus and method for measuring thermo-mechanically induced reticle distortion or other distortion in a lithography device enables detecting distortion at the nanometer level in situ. The techniques described use relatively simple optical detectors and data acquisition electronics that are capable of monitoring the distortion in real time, during operation of the lithography equipment. Time-varying anisotropic distortion of a reticle can be measured by directing slit patterns of light having different orientations to the reticle and detecting reflected, transmitted or diffracted light from the reticle. In one example, corresponding segments of successive time measurements of secondary light signals are compared as the reticle scans a substrate at a reticle stage speed of about 1 m/s to detect temporal offsets and other features that correspond to spatial distortion.
    Type: Grant
    Filed: February 27, 2015
    Date of Patent: August 23, 2016
    Assignee: Nikon Corporation
    Inventor: Michael Sogard
  • Patent number: 9406115
    Abstract: A method of identifying discontinuities in the surface of a substrate is herein disclosed. An object plane of an imaging system is positioned at a focal position associated with a discontinuity and an image is captured, the discontinuity having a relatively higher contrast with respect to the remainder of the surface of the substrate. The discontinuity is thereby more readily discernable than when the focal plane is positioned at the surface of the substrate. Analysis of discontinuities may include the extraction of discontinuity characteristics.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: August 2, 2016
    Assignee: Rudolph Technologies, Inc.
    Inventors: Wei Zhou, Michael Grant
  • Patent number: 9110033
    Abstract: A surface inspection system, as well as related components and methods, are provided. The surface inspection system includes a beam source subsystem, a beam scanning subsystem, a workpiece movement subsystem, an optical collection and detection subsystem, and a processing subsystem. The optical collection and detection system features, in the front quartersphere, a light channel assembly for collecting light reflected from the surface of the workpiece, and a front collector and wing collectors for collecting light scattered from the surface, to greatly improve the measurement capabilities of the system. The light channel assembly has a switchable edge exclusion mask and a reflected light detection system for improved detection of the reflected light.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: August 18, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Richard E. Bills, Neil Judell, Klaus R. Freischlad, James P. McNiven
  • Patent number: 9103800
    Abstract: A method for inspecting a surface of a workpiece for asymmetric defects, by scanning an incident beam on the surface of the workpiece to impinge thereon to create reflected light extending along a light channel axis in a front quartersphere and scattered light, the incident beam and the light channel axis defining an incident plane, collecting the scattered light at a plurality of collectors disposed above the surface at defined locations such that scatter from asymmetric defects is collectable by at least one collector, detecting collector output and generating signals in response, and processing the signals associated with each collector individually to obtain information about asymmetric defects.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: August 11, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Richard E. Bills, Neil Judell, Timothy R. Tiemeyer, James P. McNiven
  • Patent number: 9001966
    Abstract: A transmission X-ray analyzer (1) for detecting a transmission X-ray image of a sample (100) that is continuous in a band shape includes: a TDI sensor (14); an X-ray source (12) arranged opposed to a TDI sensor; a pair of support rollers (31, 32) arranged away from the TDI sensor between the TDI sensor and the X-ray source, the pair of support rollers being configured to transport the sample to a detection position of the TDI sensor while keeping a constant interval between the TDI sensor and the sample; and a pair of outside rollers (51, 52) arranged respectively on an outer side of the pair of support rollers in a transportation direction (L). One of the pair of support rollers and one of the pair of outside rollers are arranged at different positions as to apply a tension to the sample between the pair of support rollers.
    Type: Grant
    Filed: February 18, 2013
    Date of Patent: April 7, 2015
    Assignee: Hitachi High-Tech Science Corporation
    Inventor: Yoshiki Matoba
  • Publication number: 20140084189
    Abstract: A device and a system for detecting a tape or a piece of glue on a document and methods for detecting a tape or a piece of glue on a document are described. The device comprises at least one light source, at least one light receiver and at least one light barrier. The at least one light source is arranged on a first side of the at least one light barrier and the at least one light receiver is arranged on a second side of the at least one light barrier opposite to the first side. The light barrier is configured to come into contact with a document to prevent or reduce light emitted from the light source on the first side of the light barrier to be transmitted to the light receiver on the second side of the light barrier.
    Type: Application
    Filed: September 23, 2013
    Publication date: March 27, 2014
    Inventors: Christian Voser, Sebastien Menot
  • Patent number: 8563958
    Abstract: Reflected light caused by the state of the surface of a wafer, a foreign material, or a defect is superimposed on a haze frequency component caused by the type and thickness of a film or a surface irregularity. In order to detect a haze frequency component caused by a haze present on the surface of an object to be inspected, light propagating from the object to be inspected is detected and converted into an electric signal. The electric signal is sampled at a predetermined sampling time interval and converted into digital data. A frequency component caused by a foreign material, a defect or the like is separated from the digital data to ensure that a haze frequency component is selected. The haze frequency component is caused by a stain attached to the surface of the wafer, hazy tarnish, a surface irregularity or the like.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: October 22, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuo Takahashi, Takahiro Jingu
  • Patent number: 8373147
    Abstract: An information processing apparatus, for processing information of a plurality of measured heights respectively corresponding to a plurality of measurement points on a surface of a substrate held by a chuck, includes a processor and an output device. The processor is configured to specify, with respect to the surface, a plurality of areas that are arrayed and a plurality of sections each constituted by a number of the plurality of areas, extract at least two inclinations of a plurality of inclinations respectively corresponding to the number of the plurality of areas based on the plurality of measured heights with respect to each of the plurality of sections, and cause the output device to output information specifying a section of the plurality of sections that satisfies a first condition that a product of two inclinations among the at least two inclinations exceeds a predetermined threshold.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: February 12, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Daisuke Itai, Kunitaka Ozawa
  • Patent number: 8330134
    Abstract: Various embodiments related to monitoring for optical faults in an optical system are disclosed. For example, one disclosed embodiment provides, in an optical system comprising a light source, a light outlet, and an optical element disposed between the light source and the light outlet, a method of monitoring for optical system faults. The method includes detecting, via a light sensor directed toward an interface surface of the optical element closest to the light source, an intensity of light traveling from the interface surface of the optical element to the light sensor, and comparing an intensity of light detected to one or more threshold intensity values. The method further includes identifying an optical system fault condition based on comparing the intensity of light detected to one or more threshold values, and modifying operation of the optical system.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: December 11, 2012
    Assignee: Microsoft Corporation
    Inventor: Dawson Yee
  • Patent number: 8229206
    Abstract: A photomask inspection method that identifies a foreign particle such as dirt on a photomask with high sensitivity by suppressing erroneous identification due to an influence of noise is provided. The photomask inspection method includes acquiring image data of a photomask having regions with different layer structures on a surface thereof, creating inverted image data by subtracting the image data from pixel value data of the regions, creating offset inverted image data by raising pixel values of the inverted image data by a fixed amount, creating normalized correlation image data by computing a normalized correlation of the offset inverted image data and an offset Gaussian distribution-type kernel, and identifying foreign particles by comparing the normalized correlation image data and a predetermined threshold.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: July 24, 2012
    Assignees: Kabushiki Kaisha Toshiba, NEC Corporation
    Inventor: Masatoshi Hirono
  • Patent number: 8101935
    Abstract: Reflected light caused by the state of the surface of a wafer, a foreign material or a defect is superimposed on a haze frequency component caused by the type and thickness of a film or a surface irregularity. It has therefore been difficult to accurately measure the haze frequency component by use of a fixed threshold value. In order to detect a haze frequency component caused by a haze present on the surface of an object to be inspected, light propagating from the object to be inspected is detected and converted into an electric signal. The electric signal is sampled at a predetermined sampling time interval and converted into digital data. A frequency component caused by a foreign material, a defect or the like is separated from the digital data to ensure that a haze frequency component is selected. The haze frequency component is caused by a stain attached to the surface of the wafer, hazy tarnish, a surface irregularity or the like.
    Type: Grant
    Filed: November 17, 2008
    Date of Patent: January 24, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuo Takahashi, Takahiro Jingu
  • Patent number: 8008641
    Abstract: An automated object inspection system is presented. The inspection system includes an imaging system to produce at least two images of said object having different optical properties and an analyzer coupled to the imaging system to receive the images and to perform a variety of inspection operations on said images. The imaging system may produce images of the object under inspection in the visible range having varying exposure values. A vision engine included in the analyzer may combine said images through an algorithmic process into one image having high light dynamic range. Alternatively, the imaging system may produce images of the object in the visible or non-visible electromagnetic range. The analyzer may perform inspection routines on said images. An imaging system capable of producing digital video is presented, wherein each frame of video produced by said camera is composed of multiple images having different optical properties.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: August 30, 2011
    Assignee: Acushnet Company
    Inventors: Kevin M. Harris, Paul A. Furze
  • Patent number: 7869023
    Abstract: A cylindrical mirror or lens is used to focus an input collimated beam of light onto a line on the surface to be inspected, where the line is substantially in the plane of incidence of the focused beam. An image of the beam is projected onto an array of charge-coupled devices parallel to the line for detecting anomalies and/or features of the surface, where the array is outside the plane of incidence of the focused beam.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: January 11, 2011
    Assignee: KLA-Tencor Corporation
    Inventors: Guoheng Zhao, Stanley Stokowski, Mehdi Vaez-Iravani
  • Patent number: 7845556
    Abstract: An optical unit includes an optical emitter receiving an optical emitter current, an optical sensor receiving an optical sensor current and light from the optical emitter and in response thereto outputting at least one signal indicating relative movement between the optical unit and an optical code scale, and an optical sensor current detector comparing the optical sensor current to a reference value. In response to the optical sensor current being different than the reference value, the optical sensor current detector outputs an optical sensor current detector signal. The optical sensor current detector signal indicates the presence of a contaminant in a light path from the optical emitter to the optical sensor, and is used to regulate the current to the optical emitter so as to counteract the negative effects of the contaminant in the light path.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: December 7, 2010
    Assignee: Avago Technologies ECBU IP (Singapore) Pte. Ltd.
    Inventors: Yee Loong Chin, Jiin Cheang Cheong
  • Patent number: 7764826
    Abstract: To realize reliable on-film/under-film defect classification (classification into 3 classes of on-film, under-film, and determination-disabled) with determination propriety determination, an on-film/under-film defect classification method and a method of narrowing a range of defect generation timing are given, the methods being robust to 4 variation factors, wherein an edge of a boundary line between a line pattern region and a base region is focused, and whether the edge is preserved between defect and reference images in a defective region is determined, thereby an on-film or under-film defect can be identified. Furthermore, a range of the defect generation timing can be narrowed based on an identification result of the on-film or under-film defect, and information of a defect classification class (defect type) such as particle defect or pattern defect as necessary.
    Type: Grant
    Filed: December 7, 2006
    Date of Patent: July 27, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Miyamoto, Toshifumi Honda
  • Patent number: 7719673
    Abstract: In a defect inspection for a semiconductor substrate, inspection objects include, in addition to a bare Si wafer, a wafer with various films formed on the surface thereof. For a sample formed with a metal film in particular, scattering light generated by surface roughness thereof is large, thus making it difficult to detect a minute defect and a minute foreign substance. It is desirable that a minute defect and a minute foreign substance be detected regardless of scattering light generated by the roughness of the sample surface. Insertion of an analyzer in an optical path of a detection optical system at such an angle that the scattering light generated by the roughness becomes minimum permits suppressing the scattering light generated by the roughness.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: May 18, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoshimasa Oshima, Toshiyuki Nakao
  • Patent number: 7646906
    Abstract: Computer-implemented methods for detecting defects in reticle design data are provided. One method includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. The method also includes generating second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. The method further includes detecting defects in the reticle design data using the second simulated images. Another method includes the generating steps described above in addition to determining a rate of change in a characteristic of the second simulated images as a function of the different values. This method also includes detecting defects in the reticle design data based on the rate of change.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 12, 2010
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Zain K. Saidin, Yalin Xiong, Lance Glasser, Carl Hess, Moshe E. Preil
  • Patent number: 7601978
    Abstract: Fabric wrinkles are automatically evaluated using a reliable, accurate, affordable, and efficient system. Two algorithms are used, the facet model algorithm and the plane-cutting algorithm, to extract features for evaluating wrinkles in fabrics. These algorithms eliminate the need for independent evaluation of a fabric specimen by a technician.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: October 13, 2009
    Inventors: Hamed Sari-Sarraf, Eric Hequet, Christopher N. Turner, Aijun Zhu
  • Patent number: 7505619
    Abstract: A dark field surface inspection tool and system are disclosed herein. The tool includes an illumination source capable of scanning a light beam onto an inspection surface. Light scattered by each inspection point is captured as image data by a photo detector array arranged at a fourier plane. The images captured are adaptively filtered to remove a portion of the bright pixels from the images to generate filtered images. The filtered images are then analyzed to detect defects in the inspection surface. Methods of the invention include using die-to-die comparison to identify bright portions of scattering patterns and generate unique image filters associated with those patterns. The associated images are then filtered to generate filtered images which are then used to detect defects. Also, data models of light scattering behavior can be used to generate filters.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: March 17, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Evan R. Mapoles, Grace H. Chen, Christopher F. Bevis, David W. Shortt
  • Patent number: 7502102
    Abstract: A system and method for imaging the characteristics of an object (2) having at least a first (2a) and a second (2b) layer. The object (2) is illuminated by means of incident light (4), and light (5b) reflected from the object (2) is detected by means of an imaging sensor (6) in which the detected light is converted into electrical charges, according to which a representation of the object (2) is created. Information on light scattered (5a) in the first layer (2a) and the second layer (2b) of the object (2) is obtained from the representation and this information is compared to stored information in order to detect defects on the object (2).
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: March 10, 2009
    Assignee: Sick IVP AB
    Inventors: Mattias Johannesson, Mats Gokstorp
  • Patent number: 7474394
    Abstract: When size of a defect on an increasingly miniaturized pattern is obtained by defect inspection apparatus in the related art, a value is inconveniently given, which is different from a measured value of the same defect by SEM. Thus, a dimension value of a defect detected by defect inspection apparatus needs to be accurately calculated to be approximated to a value measured by SEM. To this end, size of the defect detected by the defect inspection apparatus is corrected depending on feature quantity or type of the defect, thereby defect size can be accurately calculated.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: January 6, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akira Hamamatsu, Shunji Maeda, Hisae Shibuya
  • Patent number: 7465948
    Abstract: The present invention relates to a sheet-surface analyser (10) including illuminating means (32) for casting shadows on the sheet-surface; capturing means (36) for capturing an image of the shadows; analysing means (40) for analysing the captured image to thereby analyse the sheet surface; and curving means (22) for curving the sheet, wherein the illuminating means and the curving means are configured to enable the illuminating means to cast shadows on a curved part of said sheet-surface, and the capturing means is configured to capture said shadow-image.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: December 16, 2008
    Assignee: Paper Australia Pty Ltd.
    Inventors: Michael Reich, Rafik Faltas
  • Patent number: 7411207
    Abstract: An apparatus for inspecting particles and/or pattern defects of an object under inspection. Data processing means obtains information on size of the particles and/or the pattern defects from an intensity of the scattered light detected by the light detecting means by referring to a relationship between an intensity of scattered light from a standard particle and a size of the standard particle, and using a calibration coefficient for compensating for a change in intensity of the light of the illuminating means from a predetermined intensity.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: August 12, 2008
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ohshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7348585
    Abstract: A surface inspection apparatus of the present invention includes an irradiation optical unit having a multibeam irradiation optical unit for converging and irradiating multiple beams upon a surface of an object to be inspected; a detector which has a light-condensing optical unit including light-sensitive elements for respectively receiving the multiple beams reflected by the surface of the inspecting object; and a processor which obtains a plane-coordinate-position of a position to be irradiated and detected at a reference height position based on a difference between light-receiving reference positions of each of the light-sensitive elements when assumed that the irradiated and detected position of the inspecting object is at the reference height position and actual light-receiving positions of each of the light-sensitive elements, according to a result of analysis and process of surface state information.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: March 25, 2008
    Assignee: Kabushiki Kaisha Topcon
    Inventors: Kazuhiro Miyakawa, Yoichiro Iwa, Akihiko Sekine
  • Patent number: 7262425
    Abstract: An apparatus for optically inspecting particles and/or defects correlates sizes of particles and/or defects to a cause of failure in an inspection result. A data processing circuit points out a cause of failure from the statistics on the inspection result, and displays information on the inspection result. A failure analysis is conducted by setting a threshold for identifying a failure in each of regions on a semiconductor device or the like to statistically evaluate detected particles.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: August 28, 2007
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ohshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7256412
    Abstract: Conventionally, a particle/defect inspection apparatus outputs a total number of detected particles/defects as the result of detection. For taking countermeasures to failures in manufacturing processes, the particles/defects detected by the inspection apparatus are analyzed. Since the inspection apparatus outputs a large number of detected particles/defects, an immense time is required for analyzing the detected particles/defects, resulting in a delay in taking countermeasures to a failure in the manufacturing processes. In the present invention, an apparatus for optically inspecting particles or defects relates a particle or defect size to a cause of failure in an inspection result. A data processing circuit points out a cause of failure from the statistics on the inspection result, and displays information on the inspection result.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: August 14, 2007
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ooshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7173270
    Abstract: A lithographic apparatus transfers a pattern from a patterning device onto a substrate and includes a projection system to project a patterned radiation beam onto the substrate; a controllable actuator to adjust a distance between the projection system and the substrate; and a particle detector system to detect a particle on a surface of the substrate. The particle detector system has illumination optics directing the radiation to a detection area of the surface of the substrate, detection optics receiving radiation from the detection area of the surface of the substrate, and a detector coupled to the detection optics to produce a measurement signal. The apparatus further has a processing system to determine the height of a particle from the measurement signal, generate a height excess signal if the height exceeds a threshold value, and control the actuator in response to the height excess signal.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: February 6, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Anastasius Jacobus Anicetus Bruinsma, Pieter Johannes Marius Van Groos, Jan Frederick Hoogkamp, Kees Moddemeijer, Folkert Draaisma
  • Patent number: 7115892
    Abstract: Conventionally, a particle/defect inspection apparatus outputs a total number of detected particles/defects as the result of detection. For taking countermeasures to failures in manufacturing processes, the particles/defects detected by the inspection apparatus are analyzed. Since the inspection apparatus outputs a large number of detected particles/defects, an immense time is required for analyzing the detected particles/defects, resulting in a delay in taking countermeasures to a failure in the manufacturing processes. In the present invention, an apparatus for optically inspecting particles or defects relates a particle or defect size to a cause of failure in an inspection result. A data processing circuit points out a cause of failure from the statistics on the inspection result, and displays information on the inspection result.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: October 3, 2006
    Assignees: Hitachi, Ltd., Hitachi High Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ooshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7115886
    Abstract: In-situ cleaning of optical components for use in a lithographic projection apparatus can be carried out by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm, in the presence of molecular oxygen. Generally, the space will be purged with an ozoneless purge gas which contains a small amount of molecular oxygen in addition to the usual purge gas composition. The technique can also be used in an evacuated space by introducing a low pressure of molecular oxygen into the space.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: October 3, 2006
    Assignee: ASML Netherlands B.V.
    Inventors: Willem Van Schaik, Bastiaan Matthias Mertens, Hans Meiling, Norbertus Benedictus Koster
  • Patent number: 7065240
    Abstract: A reticle inspection apparatus for detecting defects on a reticle 16 includes an image data generator 42 for generating image data of the reticle 16, a definition analyzer 44 for analyzing definition of image from the image data, a definition judge device 45 for judging whether or not the definition of image is within a predetermined reference range and a sensor position regulating stage 34 for correcting a position of a position sensor 33 when the definition of image is out of the reference range. The reticle inspection apparatus constructed as mentioned is reliable and capable of automatically diagnosing an error of an auto-focusing function of the reticle inspection apparatus due to deformation, etc., of the reticle inspection apparatus.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: June 20, 2006
    Assignee: NEC Corporation
    Inventor: Akifumi Tada
  • Patent number: RE41362
    Abstract: A radiation source includes an anode and a cathode for creating a discharge in a vapor in a space between anode and cathode and to form a plasma of a working vapor so as to generate electromagnetic radiation. The cathode defines a hollow cavity in communication with the discharge region through an aperture that has a substantially annular configuration around a central axis of said radiation source so as to initiate said discharge. A driver vapor is supplied to the cathode cavity and the working vapor is supplied in a region around the central axis in between anode and cathode.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: June 1, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Konstantin Nikolaevitch Koshelev, Frederik Bijkerk, Givi Georgievitch Zukavishvili, Evgenii Dmitreevitch Korop, Vladimir Vital'evitch Ivanov