Having Details Of Setting Or Programming Of Interconnections Or Logic Functions Patents (Class 326/38)
  • Patent number: 9548740
    Abstract: A method of configuring an integrated circuit device to perform a function includes storing a plurality of configurations for performing the function, each of the configurations being designed for a different characteristic of a particular input to the function. Inputs are received for the function, including the particular input. The characteristic of the particular input as received is examined, and one of the plurality of configurations is instantiated based on that characteristic of the particular input as received. A machine-readable data storage medium may be encoded with instructions to perform the method. A programmable device may be configured according to the method, and also may be incorporated into a heterogeneous system.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: January 17, 2017
    Assignee: Altera Corporation
    Inventors: Doris Tzu-Lang Chen, Deshanand Singh
  • Patent number: 9548741
    Abstract: A device that includes a memristive Akers logic array, wherein the memristive Akers logic array comprises multiple primitive logic cells that are coupled to each other; wherein each primitive logic cell comprises at least one memristive device.
    Type: Grant
    Filed: July 14, 2015
    Date of Patent: January 17, 2017
    Assignee: TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LTD.
    Inventors: Shahar Kvatinsky, Avinoam Kolodny, Yifat Hanein
  • Patent number: 9542442
    Abstract: Embodiments of the present invention provide hardware-friendly indexing of databases. In particular, forward and reverse indexing are utilized to allow for easy traversal of primary key to foreign key relationships. A novel structure known as a hit list also allows for easy scanning of various indexes in hardware. Group indexing is provided for flexible support of complex group key definition, such as for date range indexing and text indexing. A Replicated Reordered Column (RRC) may also be added to the group index to convert random I/O pattern into sequential I/O of only needed column elements.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: January 10, 2017
    Assignee: Teradata US, Inc.
    Inventors: Krishnan Meiyyappan, Liuxi Yang, Jeremy Branscome, Michael Paul Corwin, Ravindran Krishnamurthy, Kapil Laxmikant Surlaker, James Shau, Joseph Irawan Chamdani
  • Patent number: 9542204
    Abstract: A determination is made that a configuration architectural mode facility is installed in a computing environment that is configured for a plurality of architectural modes and has a defined power-on sequence that is to power-on the computing environment in one architectural mode of the plurality of architectural modes. Based on determining that the configuration architectural mode facility is installed, the computing environment is reconfigured to restrict use of the one architectural mode. The reconfiguring includes selecting a different power-on sequence to power-on the computing environment in another architectural mode of the plurality of architectural modes, wherein the another architectural mode is different from the one architectural mode, and executing the different power-on sequence to power-on the computing environment in the another architectural mode in place of the one architectural mode restricting use of the one architectural mode.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: January 10, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Charles W. Gainey, Jr., Michael K. Gschwind
  • Patent number: 9520862
    Abstract: In an embodiment of the invention, a dual-port negative level sensitive reset preset data retention latch contains a clocked inverter and a dual-port latch. Data is clocked through the clocked inverter when clock signal CKT goes high, CLKZ goes low, preset control signal PRE is low, rest control signal REN is high and retention control signal RET is low. The dual-port latch is configured to receive the output of the clocked inverter, a second data bit D2, the clock signals CKT and CLKZ, the retain control signals RET and RETN, the preset control signal PRE and the control signals SS and SSN. The signals CKT, CLKZ, RET, RETN, PRE, REN, SS and SSN determine whether the output of the clocked inverter or the second data bit D2 is latched in the dual-port latch. Control signals RET and RETN determine when data is stored in the dual-port latch during retention mode.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: December 13, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Steven C. Bartling, Sudhanshu Khanna
  • Patent number: 9520863
    Abstract: In an embodiment of the invention, a dual-port negative level sensitive preset data retention latch contains a clocked inverter and a dual-port latch. Data is clocked through the clocked inverter when clock signal CKT goes low, CLKZ goes high, preset control signal PRE is low and retention control signal RET is low. The dual-port latch is configured to receive the output of the clocked inverter, a second data bit D2, the clock signals CKT and CLKZ, the retain control signals RET and RETN, the preset control signal PRE and the control signals SS and SSN. The signals CKT, CLKZ, RET, RETN, PRE, SS and SSN determine whether the output of the clocked inverter or the second data bit D2 is latched in the dual-port latch. Control signals RET and RETN determine when data is stored in the dual-port latch during retention mode.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: December 13, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Steven C. Bartling, Sudhanshu Khanna
  • Patent number: 9509312
    Abstract: Disclosed are methods and devices, among which is a device that includes a finite state machine lattice. The lattice may includes a programmable Boolean logic cell that may be programmed to perform various logic functions on a data stream. The programmability includes an inversion of a first input to the Boolean logic cell, an inversion of a last output of the Boolean logic cell, and a selection of an AND gate or an OR gate as a final output of the Boolean logic cell. The Boolean logic cell also includes end of data circuitry configured to cause the Boolean logic cell to only output after an end of data signifying the end of a data stream is received at the Boolean logic cell.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: November 29, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Harold B Noyes, David R. Brown, Paul Glendenning, Irene Junjuan Xu
  • Patent number: 9494645
    Abstract: The present invention relates to a method for testing cryptography circuits. It also relates to a secure cryptography circuit capable of being tested. The cryptography circuit includes registers and logic gates, and a test thereof performs a differential power analysis on the registers of the circuit. A cryptography circuit being secure and including a first half-circuit associated with a second half-circuit operating in complementary logic, the electric power supply of the first half-circuit is separated from the electric power supply of the second half-circuit, the differential power analysis being carried out in parallel on each half-circuit, the two power supplies being combined into one and the same electric power supply after the test.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: November 15, 2016
    Assignee: INSTITUT TELECOM-TELECOM PARIS TECH
    Inventors: Sylvain Guilley, Jean-Luc Danger
  • Patent number: 9494967
    Abstract: Some embodiments provide an integrated circuit (“IC”). The IC includes multiple configurable circuits that configurably perform operations of a user design based on configuration data. The IC also includes a configurable trigger circuit that receives a set of configuration data that specifies an operational event. The configurable trigger circuit also determines whether the operational event has occurred during implementation of the user design of the IC. Additionally, the operational trigger event outputs a trigger signal upon determining that the operational trigger event has occurred.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: November 15, 2016
    Assignee: Altera Corporation
    Inventors: Brad Hutchings, Jason Redgrave, Dai Huang, Steven Teig
  • Patent number: 9490814
    Abstract: Some embodiments provide a configurable IC that includes a configurable routing fabric with storage elements. In some embodiments, the routing fabric provides a communication pathway that routes signals to and from source and destination components. The routing fabric of some embodiments provides the ability to selectively store the signals passing through the routing fabric within the storage elements of the routing fabric. In this manner, a source or destination component continually performs operations (e.g., computational or routing) irrespective of whether a previous signal from or to such a component is stored within the routing fabric. The source and destination components include configurable logic circuits, configurable interconnect circuits, and various other circuits that receive or distribute signals throughout the configurable IC.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: November 8, 2016
    Assignee: Altera Corporation
    Inventors: Steven Teig, Herman Schmit, Randy Renfu Huang
  • Patent number: 9484891
    Abstract: An integrated circuit supports multiple communication modes using different input/output (IO) voltages. The IC includes a low-voltage communication circuit operating at a low IO voltage in a low-voltage mode, and a high-voltage communication circuit operating at a high IO voltage in a high-voltage mode. The low-voltage communication circuit includes low-voltage transistors in a critical path that exhibits sensitivity to a destructive voltage less than the high IO voltage. The low-voltage communication circuit is therefore provided with protection circuitry to protect the low-voltage transistors from the high 10 voltage.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: November 1, 2016
    Assignee: Rambus Inc.
    Inventors: Amir Amirkhany, Chaofeng Huang
  • Patent number: 9483416
    Abstract: A method of processor operation using an integrated circuit (IC) can include loading encrypted program code into the IC through a configuration port of the IC and decrypting the encrypted program code using configuration circuitry of the IC. Decryption of the encrypted program code can result in decrypted program code which can be provided to a target destination.
    Type: Grant
    Filed: October 21, 2010
    Date of Patent: November 1, 2016
    Assignee: XILINX, INC.
    Inventors: Ting Lu, Stephen M. Trimberger, Eric E. Edwards, Weiguang Lu, Kam-Wing Li
  • Patent number: 9479145
    Abstract: A novel PLL is provided. An oscillator circuit includes first to n-th inverters, and first and second circuits. A first terminal of each of the first and second circuits is electrically connected to an output terminal of the i-th inverter. A second terminal of each of the first and second circuits is electrically connected to an input terminal of the (i+1)-th inverter. The first circuit has functions of storing first data, switching between electrically disconnecting the first terminal and the second terminal from each other and setting a resistance between the first terminal and the second terminal to a value based on the first data. The second circuit has functions of storing second data, switching between electrically disconnecting the first terminal and the second terminal from each other and setting a resistance between the first terminal and the second terminal to a value based on the second data.
    Type: Grant
    Filed: March 3, 2015
    Date of Patent: October 25, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuki Okamoto, Yoshiyuki Kurokawa
  • Patent number: 9471537
    Abstract: The present invention provides a hybrid programmable logic device which includes a programmable field programmable gate array logic fabric and a many-core distributed processing subsystem. The device integrates both a fabric of programmable logic elements and processors in the same device, i.e., the same chip. The programmable logic elements may be sized and arranged such that place and route tools can address the processors and logic elements as a homogenous routing fabric. The programmable logic elements may provide hardware acceleration functions to the processors that can be defined after the device is fabricated. The device may include scheduling circuitry that can schedule the transmission of data on horizontal and vertical connectors in the logic fabric to transmit data between the programmable logic elements and processor in an asynchronous manner.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: October 18, 2016
    Assignee: Altera Corporation
    Inventors: Michael D. Hutton, Anargyros Krikelis
  • Patent number: 9465619
    Abstract: Systems and methods are provided for a multi-core processor for processing different types of data units. A system includes a classifier configured to classify incoming data units into different type data units. A plurality of processing cores are selectably configurable into plural processing pipelines, respective processing pipelines including connected processing cores, ones of the processing cores being selectably programmed to execute a respective processing operation on a received incoming data unit, different ones of the processing pipelines defined by a selectable number of processing cores. A distributor is configured to distribute the different types of data units to one of the pipelines among the plural pipelines at least as a function of the classified type of the data units and the programmed processing operations of processing cores in the pipelines.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: October 11, 2016
    Assignee: MARVELL ISRAEL (M.I.S.L) LTD.
    Inventors: Ori Rottenstreich, Yoram Revah, Aviran Kadosh
  • Patent number: 9461649
    Abstract: A programmable logic circuit architecture using resistive memory elements. The proposed circuit architecture uses the conventional island-based Field Programmable Gate Array (FPGA) architecture, but with novel integration of CMOS-compatible resistive memory elements that can be programmed efficiently. In the proposed architecture, the programmable interconnects of FPGA are redesigned to use only resistive memory elements and metal wires. Then, the interconnects can be entirely fabricated over logic blocks to save area while keeping their architectural functions unchanged, and the programming transistors can be shared among resistive memory elements to save area. Finally, on-demand buffer insertion is proposed as the buffering solution to achieve more speedup.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: October 4, 2016
    Assignee: The Regents of the University of California
    Inventors: Jingsheng J. Cong, Bingjun Xiao
  • Patent number: 9461650
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (“IC”). The configurable IC includes a set of configurable logic circuits for configurably performing a set of functions. The configurable IC also includes a set of configurable routing circuits for routing signals to and from the configurable circuits. During several operational cycles of the configurable IC, a set of data registers are defined by the configurable routing circuits. These data registers may be used wherever a flip-flop can be used.
    Type: Grant
    Filed: March 23, 2015
    Date of Patent: October 4, 2016
    Assignee: Altera Corporation
    Inventor: Jason Redgrave
  • Patent number: 9454437
    Abstract: A processing device boots or wakes using non-volatile logic element (NVL) array(s) that store a machine state. A standard boot sequence is used to restore a first portion of data. A second portion of data is restored, in parallel with the standard boot sequence, from the NVL array(s). A data corruption check is performed on the second portion of data. If the second data is valid, a standard boot sequence is used to restore a third portion of data. If the second data is invalid or the boot is an initial boot, a standard boot sequence is executed to determine the second portion of data, which is then stored in the NVL array(s). The processing device restores the second portion of the data during a portion of the boot/wake process that is not reading data from other non-volatile devices to avoid overloading the respective power domain.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: September 27, 2016
    Assignees: TEXAS INSTRUMENTS INCORPORATED, TEXAS INSTRUMENTS DEUTSCHLAND GMBH
    Inventors: Andreas Waechter, Mark Jung, Steven Craig Bartling, Sudhanshu Khanna
  • Patent number: 9455709
    Abstract: A dynamic logic circuit in which the number of elements is reduced, the layout area is reduced, the power loss is reduced, and the power consumption is reduced is provided. A semiconductor device including a dynamic logic circuit includes a first transistor in which a channel is formed in silicon and a second transistor in which a channel is formed in an oxide semiconductor. Here, a structure in which the second transistor is provided over the first transistor can be employed. A structure in which an insulating film is provided over the first transistor, and the second transistor is provided over the insulating film can be employed. A structure in which a top surface of the insulating film is planarized can be employed. A structure in which the second transistor has a region overlapping with the first transistor can be employed.
    Type: Grant
    Filed: March 4, 2015
    Date of Patent: September 27, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takuro Ohmaru, Hidetomo Kobayashi
  • Patent number: 9455022
    Abstract: There is provided a semiconductor integrated circuit device that can generate a unique ID with the suppression of overhead. When a unique ID is generated, the potential of a word line of a memory cell in an SRAM is raised above the power supply voltage of the SRAM, and then lowered below the power supply voltage of the SRAM. When the potential of the word line is above the power supply voltage of the SRAM, the same data is supplied to both the bit lines of the memory cell. Thereby, the memory cell in the SRAM is put into an undefined state and then changed so as to hold data according to characteristics of elements or the like configuring the memory cell. In the manufacture of the SRAM, there occur variations in characteristics of elements or the like configuring the memory cell. Accordingly, the memory cell in the SRAM holds data according to variations occurring in the manufacture.
    Type: Grant
    Filed: July 18, 2014
    Date of Patent: September 27, 2016
    Assignee: Renesas Electronics Corporation
    Inventors: Makoto Yabuuchi, Hidehiro Fujiwara
  • Patent number: 9455715
    Abstract: In an exemplary embodiment, an apparatus includes a first set of circuit elements and a second set of circuit elements. The first set of circuit elements is used in a first configuration of the apparatus, and the second set of circuit elements is used in a second configuration of the apparatus. The first configuration of the apparatus is switched to the second configuration of the apparatus in order to improve reliability of the apparatus.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: September 27, 2016
    Assignee: Alterm Corporation
    Inventors: Bruce B. Pedersen, Irfan Rahim
  • Patent number: 9450582
    Abstract: A programmable buffer system includes a plurality of programmable resources. Each of the programmable resources includes, in an unconfigured state, a buffer with multiple entries, an input multiplexer, and an output multiplexer. Configuration information registers specify whether each of the programmable resources is configured as one of a group consisting of: a logic block, a shift register, and a state record, and which of a plurality of timer signals is to be provided to each of the plurality of programmable resources.
    Type: Grant
    Filed: February 3, 2015
    Date of Patent: September 20, 2016
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vimal Rajput, Simon J. Gallimore, Bradley G. Hoskins
  • Patent number: 9448872
    Abstract: Systems and methods of utilizing a hardware state data logger to debug in silicon. One or more hardware state data loggers are incorporated into a circuit design and fabricated along with the functional units of the circuit into a fabricated chip. When a problem is encountered during testing of the fabricated chip, a hardware state data logger is enabled to capture and store with a final sequence of events that led to the error. The stored data is then extracted from the fabricated chip and used to determine the underlying cause of the failure.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: September 20, 2016
    Assignee: Apple Inc.
    Inventors: Ben D. Jarrett, Fritz A. Boehm
  • Patent number: 9444460
    Abstract: Integrated circuits are provided with circuitry such as multiplexers that can be selectively configured to route different power supply voltages to different circuit blocks on the integrated circuits. The circuit blocks may contain memory elements that are powered by the power supply voltages and that provide corresponding static output control signals at magnitudes that are determined by the power supply voltages. The control signals from the memory elements may be applied to the gates of transistors in the circuit blocks. Logic on an integrated circuit may be powered at a given power supply voltage level. The memory elements may provide their output signals at overdrive voltage levels that are elevated with respect to the given power supply voltage level during high speed operation and may provide their output signals at relatively lower voltage levels that are less than the overdrive voltage during low power operation.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: September 13, 2016
    Assignee: Altera Corporation
    Inventor: Christopher F. Lane
  • Patent number: 9444461
    Abstract: A universal input buffer has a pair of input pins. A first input of a multiplexer is coupled to the second input pin and a second input of the multiplexer receives a common mode voltage of a differential signal applied to the first pin. The multiplexer is responsive to a selection signal to select either of the first and second inputs of said multiplexer. A pair of single-input buffers have inputs coupled respectively to the first and second input pins. A first input of a first differential buffer is coupled to the first input pin, a first input of a second differential buffer is coupled to the second input pin, the second input of the first differential buffer is coupled to the output of the multiplexer, and the second input of the second differential buffer receives a common mode voltage of a differential signal applied to the second pin.
    Type: Grant
    Filed: April 1, 2016
    Date of Patent: September 13, 2016
    Assignee: Microsemi Semiconductor ULC
    Inventors: Slobodan Milijevic, Guohui Situ
  • Patent number: 9438229
    Abstract: The present invention relates to an interface circuit for intermediate connection between a logic circuit and a power circuit, having a supply connection for connection to a power supply, contains two logic connections, which are configurable as logic input or logic output, and two power connections, which are configurable as power input or power output, and a configuration unit for the corresponding configuration, wherein the power input can be read by the logic output and the power output can be driven by the logic input. The present invention further relates to an interface module, having at least two power connections, contains at least one interface circuit, the power connections of which interface circuit are routed to the power connections of the interface module.
    Type: Grant
    Filed: December 10, 2014
    Date of Patent: September 6, 2016
    Assignee: Diehl Aerospace GmbH
    Inventor: Jürgen Späh
  • Patent number: 9436848
    Abstract: A computing device receives a feature name or key name for an integrated circuit comprising a security manager core and an additional component. At least one of a) the additional component is associated with the key name or b) a feature provided by the additional component is associated with the feature name. The computing device receives a specified number of bits associated with the feature name or the key name, and maps the feature name to a feature address space or the key name to a key interface of the security manager core based at on the specified number of bits. The computing device generates at least one hardware description logic (HDL) module based on the mapping, wherein the at least one HDL module is usable to configure the security manager core for delivery of payloads associated with the feature name or the key name to the additional component.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: September 6, 2016
    Assignee: CRYPTOGRAPHY RESEARCH, INC.
    Inventors: Daniel Beitel, Lauren Gao, Christopher Gori, Paul Carl Kocher, Ambuj Kumar, Andrew John Leiserson
  • Patent number: 9438525
    Abstract: A scheduling module arranged to schedule the transmission of data from a plurality of data sources over a serial communication interface. The scheduling module comprises a register array and is arranged to selectively couple one of the data sources to the serial communication interface based at least partly on a source identifier value stored within a currently selected register within the register array. The scheduling module is further arranged to select a next sequential register within the register array upon receipt of a trigger signal.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: September 6, 2016
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Robert Moran, Rao Karthik C Ganesh, Robin Paling
  • Patent number: 9432033
    Abstract: A system method of initializing operation of a semiconductor device including detecting de-assertion of an external reset signal while the semiconductor device in a reset state, monitoring a temperature level of the semiconductor device, and while the temperature level is below a predetermined minimum operating temperature level that allows the semiconductor device to operate at a maximum performance level, keeping the semiconductor device in the reset state and asserting at least one operating parameter on the semiconductor device at an elevated level to generate heat on the semiconductor device, and releasing the reset condition when the temperature level is at least the predetermined minimum operating temperature level. The operating parameter may be clock frequency or supply voltage level or a combination of both. Different elevated clock frequencies and/or different minimum operating temperature levels are contemplated.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: August 30, 2016
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventor: Jaideep Dastidar
  • Patent number: 9431372
    Abstract: A multi-chip package includes first and second semiconductor chips that are sequentially stacked, each of the first and second semiconductor chips including an operation block for an internal operation, third and fourth semiconductor chips that are sequentially stacked over the second semiconductor chip and rotated 180 degrees in a horizontal direction with respect to the first and second semiconductor chips, each of the third and fourth semiconductor chips including an operation block, and through chip vias for transmitting predetermined signals between the operation blocks of the first to fourth semiconductor chips.
    Type: Grant
    Filed: December 12, 2014
    Date of Patent: August 30, 2016
    Assignee: SK Hynix Inc.
    Inventor: Dong-Beom Lee
  • Patent number: 9431073
    Abstract: A memory device includes a memory cell unit, a bit line unit and a buffering unit. The memory cell unit includes a plurality of memory cell groups. Each memory cell group includes at least one memory cell for storing data therein. The bit line unit includes a plurality of first bit lines each coupled to the at least one memory cell of a respective memory cell group, and a second bit line for transmitting to-be-read data. The buffering unit includes a plurality of two-state buffers. Each two-state buffer has an input terminal coupled to a respective first bit line, and an output terminal coupled to the second bit line. The memory device does not require a sense amplifier, and thus consumes relatively small power. The memory device can operate at a relatively high frequency when properly configured.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: August 30, 2016
    Inventor: Chih-Cheng Hsiao
  • Patent number: 9419622
    Abstract: To provide a semiconductor device in which signal-transmission speed between a first logic element and a second logic element is not lowered. The semiconductor device includes a first switch between the first logic element and the second logic element, and configuration to the first switch is repeatedly performed until configuration is performed on the first switch while a low-level voltage is input to the first switch from the first logic element.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: August 16, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuki Okamoto, Yoshiyuki Kurokawa
  • Patent number: 9407266
    Abstract: In an example implementation, a level-shifter circuit in an integrated circuit (IC) includes a plurality field-effect transistors (FETs) coupled to provide: a first inverter having an input port configured to receive an input signal having a first supply voltage, an output port, and a bias port; a second inverter having an input port coupled to the output port of the first inverter, an output port, and a bias port coupled to a second supply voltage; a diode-connected FET coupled between the second supply voltage and the bias port of the first inverter; a first FET in parallel with the diode-connected FET having a gate coupled to the output of the second inverter; and a second FET in parallel with the diode-connected FET and the first FET having a gate configured to receive a mode select signal.
    Type: Grant
    Filed: August 22, 2014
    Date of Patent: August 2, 2016
    Assignee: XILINX, INC.
    Inventors: Fu-Hing Ho, Gubo Huang
  • Patent number: 9401718
    Abstract: A method of configuring a programmable integrated circuit device with a user logic design includes analyzing the user logic design to identify unidirectional logic paths within the user logic design and cyclic logic paths within the user logic design, assigning the cyclic logic paths to logic in a first portion of the programmable integrated circuit device that operates at a first data rate, assigning the unidirectional logic paths to logic in a second portion of the programmable integrated circuit device that operates at a second data rate lower than the first data rate, and pipelining the unidirectional data paths in the second portion of the programmable integrated circuit device to compensate for the lower second data rate. A programmable integrated circuit device adapted to carry out such method may have logic regions operating at different rates, including logic regions with programmably selectable data rates.
    Type: Grant
    Filed: April 8, 2015
    Date of Patent: July 26, 2016
    Assignee: Altera Corporation
    Inventors: David Lewis, Valavan Manohararajah, David Galloway
  • Patent number: 9391620
    Abstract: A programmable logic device having a small layout area even with an increasing circuit scale. The programmable logic device includes first programmable logic elements (PLEs); a second PLE; first wirings to which a signal including configuration data is supplied in a first period and which are electrically connected to respective output terminals of the first PLEs in a second period; a second wiring electrically connected to an input terminal of the second PLE; and circuits each connected to the corresponding first wiring. Each of the circuits includes at least a first switch, a second switch, and a third switch. An on/off state of the second switch depends on a potential of a node to which the signal is supplied from the corresponding first wiring through the first switch. The second switch and the third switch control an electrical connection between the corresponding first wiring and the second wiring.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 12, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yoshiyuki Kurokawa
  • Patent number: 9390008
    Abstract: A data storage device includes a memory device and a controller. Mapping circuitry is configured, in response to receiving data, to apply a one-to-many mapping to each group of multiple groups of bits in the received data to generate mapped data that includes multiple groups of mapped bits. Storage elements of the memory device are partitioned into multiple skip groups and the mapped bits of each group of mapped bits are interleaved across the skip groups such that different bits of a group of mapped bits are written into different skip groups.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 12, 2016
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventor: Menahem Lasser
  • Patent number: 9385690
    Abstract: An integrated circuit (IC) includes power domains and I/O multiplexing units. The I/O multiplexing units include components that are spilt across the power domains. The I/O multiplexing units multiplex signals received from the power domains and provide signals to one or more peripheral devices connected to the IC by way of I/O pads of the IC.
    Type: Grant
    Filed: August 9, 2015
    Date of Patent: July 5, 2016
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Neha Agarwal, Mayank Agrawal, Chandan Gupta, Saurinkumar Patel, Victor Zamanski
  • Patent number: 9385720
    Abstract: A semiconductor device in which operation delay can be suppressed is provided. The semiconductor device includes a first logic element, a second logic element, a first circuit that has a function of controlling conduction between the first logic element and the second logic element, and a fourth circuit. The fourth circuit is electrically connected to the first circuit, and is electrically connected to the second logic element.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: July 5, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuki Okamoto, Yoshiyuki Kurokawa
  • Patent number: 9385725
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (IC) that has several configurable circuits for configurably performing different operations. During the operation of the IC, each particular configurable circuit performs a particular operation that is specified by a particular configuration data set for the particular configurable circuit. While the IC operates and a first set of configurable circuits performs a first set of operations, configuration data is loaded from the outside of the IC for configuring a second set of configurable circuits. In some embodiments, the configurable IC includes a configuration network for rapid loading configuration data in the IC from outside of the IC. In some of these embodiments, the configuration network is a pipelined network.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: July 5, 2016
    Assignee: Altera Corporation
    Inventors: Brad Hutchings, Jason Redgrave, Teju Khubchandani, Herman Schmit, Steven Teig
  • Patent number: 9379711
    Abstract: A programmable logic device includes a plurality of programmable logic elements (PLE) whose electrical connection is controlled by first configuration data. Each of The PLEs includes an LUT in which a relationship between a logic level of an input signal and a logic level of an output signal is determined by second configuration data, an FF to which the output signal of the LUT is input, and an MUX. The MUX includes at least two switches each including first and second transistor. A signal including third configuration data is input to a gate of the second transistor through the first transistor. The output signal of the LUT or an output signal of the FF is input to one of a source and a drain of the second transistor.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: June 28, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Munehiro Kozuma, Yoshiyuki Kurokawa, Takayuki Ikeda, Takeshi Aoki
  • Patent number: 9362918
    Abstract: The invention relates to a programmable interconnection device, comprising: first rows of functional blocks, each functional block having inputs and outputs; second rows of programmable interconnection cells; horizontal connections, each connecting a programmable interconnection cell of the second row with only one other cell of that row; and connection bundles comprising transverse connections connecting a given programmable interconnection cell with functional blocks of the neighboring first row; the cells being suitable together for interconnecting the inputs and the outputs of each functional block of each first row with the outputs and the inputs of all of the other functional blocks of the same row.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: June 7, 2016
    Assignee: NANOXPLORE
    Inventor: Olivier Lepape
  • Patent number: 9356579
    Abstract: A predetermined waveform is generated using a lower frequency clock signal (16) and a higher frequency clock signal (18). The waveform transitions between first and second states (12, 14) in synchrony with timing signals (18-1 to 18-11) of the higher frequency clock signal (18). The higher frequency clock is operated if the waveform will transition between the first and second states (12, 14) before the next timing signal (16-2) of the lower frequency clock. The higher frequency clock is powered down if the waveform will not transition between the first and second states (12, 14) before the next timing signal (16-3) of the lower frequency clock. The predetermined waveform can thus be generated having higher resolution but with lower power consumption.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: May 31, 2016
    Assignee: NORDIC SEMICONDUCTOR ASA
    Inventors: Vinayak Kariappa Chettimada, Glenn Ruben Bakke, Bjorn Tore Taraldsen
  • Patent number: 9343667
    Abstract: A memory device can include at least one programmable impedance cell having at least one programmable layer formed between a first terminal and a second terminal, the programmable layer being programmable between at least two impedance states by application of electric fields; and at least a first access bipolar junction transistor (BJT) coupled to the programmable impedance cell having at least a portion formed by a semiconductor material; wherein a base region and a first emitter region or collector region of the first access BJT are vertically aligned with one another.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: May 17, 2016
    Assignee: Adesto Technologies Corporation
    Inventor: Ishai Naveh
  • Patent number: 9324380
    Abstract: A semiconductor apparatus includes a control signal reception portion. The control signal reception portion may set information related to operation of a memory chip by receiving a command signal and an address signal from one among a stack chip test portion, a control signal interface portion and a test setting portion.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: April 26, 2016
    Assignee: SK HYNIX INC.
    Inventors: Sang Jin Byeon, Jae Bum Ko, Young Jun Ku
  • Patent number: 9317482
    Abstract: A universal single-bitstream FPGA library or ASIC implementation accelerates matrix-vector multiplication processing multiple matrix encodings including dense and multiple sparse formats. A hardware-optimized sparse matrix representation referred to herein as the Compressed Variable-Length Bit Vector (CVBV) format is used to take advantage of the capabilities of FPGAs and reduce storage and bandwidth requirements across the matrices compared to that typically achieved when using the Compressed Sparse Row (CSR) format in typical CPU- and GPU-based approaches. Also disclosed is a class of sparse matrix formats that are better suited for FPGA implementations than existing formats reducing storage and bandwidth requirements. A partitioned CVBV format is described to enable parallel decoding.
    Type: Grant
    Filed: October 14, 2012
    Date of Patent: April 19, 2016
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: John D. Davis, Eric Chung, Srinidhi Kestur
  • Patent number: 9312861
    Abstract: Disclosed is a novel circuit able to generate any logic combination possible as a function of the input logic signals. The circuit is described as a 2 input logistic map circuit but may be expanded to 3 or more inputs as required. Further disclosed is a universal logic array with variable circuit topology. A metallization layer and/or a via interconnection between cells in the array elements produce a circuit topology that implements a Boolean function and/or chaotic function and/or a logic function. The novel circuit provides a circuit topology for secure applications with no obvious physical correspondence between control signal values and input to output mapping. Further disclosed is a network which has a power signature independent of input signal state and output transition. This provides a very useful circuit to protect data from decryption from power signature analysis in secure applications.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: April 12, 2016
    Assignee: CHAOLOGIX, INC.
    Inventors: Brent Arnold Myers, James Gregory Fox
  • Patent number: 9311203
    Abstract: The invention relates to a circuit arrangement and to a method for testing same. A circuit arrangement is provided that includes a plurality of functional units which are coupled by at least one streaming data bus. Each of the functional units includes a plurality of hardware modules and a switch matrix. At least one of the streaming data busses is provided with a data width of at least that of the widest hardware module of any of the functional units of the circuit arrangement. The switch matrices are configurable to establish a streaming data path between and through the plurality of functional units which is used as a test link for any of the hardware modules of the circuit arrangement. The invention provides for non-intrusive real-time tracing in SoCs with a minimum of additional hardware resources and at low cost in terms of die size and power consumption.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: April 12, 2016
    Assignee: Intel Deutschland GmbH
    Inventors: Lars Melzer, Volker Aue
  • Patent number: 9299424
    Abstract: A reconfigurable circuit (10) according to the present invention includes: a switching element group that is formed by a plurality of switching elements (1), an ON state and an OFF state of the switching element being rewritable in accordance with a resistive state; and a configuration controller (60) that senses the resistive state of each of the switching elements and programs each switching element, wherein the configuration controller (60) senses the resistive state of each switching element (1) by applying an inspection-purpose voltage across the opposite electrodes of the switching element (1), and when the sensed resistive state is abnormal, the configuration controller applies a programming voltage across the opposite electrodes of the switching element such that the resistive state of the switching element becomes the programmed resistive state.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: March 29, 2016
    Assignee: NEC CORPORATION
    Inventor: Shogo Nakaya
  • Patent number: 9300205
    Abstract: A power supply apparatus comprises a driver, an oscillator, a digital control circuit and a counter. The driver is connected to a power source voltage and performs an ON/OFF operation of supplying power to a load. The oscillator outputs an oscillator signal every constant period. The digital control circuit performs an ON/OFF control of the driver based on the oscillator signal outputted from the oscillator. The counter counts the oscillator signal outputted from the oscillator. The digital control circuit sets a threshold value representing an upper limit of a count value counted by the counter and stops an output operation of the oscillator signal by the oscillator when the count value counted by the counter exceeds the set threshold value.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: March 29, 2016
    Assignee: ROHM CO., LTD.
    Inventor: Shigekane Matsui
  • Patent number: 9298866
    Abstract: The present patent document relates to a method and apparatus for modeling a flip-flop of a user's circuit design when that circuit design is mapped in a hardware functional verification system including a plurality of interconnected emulation chips, or in a single emulation chip. The flip flop can be modeled in the emulation chip as two stages using only a single instruction, and may be configured by programming a register set. A data block, enable block, and LUT block are provided to model the flip flop, and may operate in one of several modes, including combined and uncombined modes. The data block includes a data array to store and provide previous data inputs and previous states of the modeled flip flop. The disclosed embodiments allow a more efficient use of LUTs for modeling flip flops, including options for resets and global enables, operating in several modes.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: March 29, 2016
    Assignee: CADENCE DESIGN SYSTEMS INC.
    Inventors: Beshara Elmufdi, Mitchell G. Poplack, Viktor Salitrennik