With Temperature Or Foreign Particle Control Patents (Class 355/30)
  • Patent number: 11898601
    Abstract: A support table for a lithographic apparatus, a method of loading a substrate, a lithographic apparatus and a method for manufacturing a device using a lithographic apparatus. In one arrangement, a support table is configured to support a substrate. The support table has a base surface. The base surface faces a surface of the substrate when the substrate is supported by the support table. One or more gas cushion members are provided above the base surface. Each of the gas cushion members includes a recess. The recess is shaped and configured such that a lowering of the substrate into a position on the support table at which the substrate is supported by the support table causes a localised build-up of pressure within the recess. The localized build-up of pressure provides a localised gas cushioning effect during the lowering of the substrate.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: February 13, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Siegfried Alexander Tromp, Antonie Hendrik Verweij, Abraham Alexander Soethoudt, Jan Pieter Van De Poel, Mark Constant Johannes Baggen
  • Patent number: 11868041
    Abstract: A pellicle frame includes a check valve, wherein the check valve is configured to permit gas flow from an interior of the pellicle to an exterior of the pellicle. The pellicle frame further includes a bottom surface of the frame defines only a single recess therein. The pellicle frame further includes a gasket configured to fit within the single recess.
    Type: Grant
    Filed: October 18, 2022
    Date of Patent: January 9, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chue San Yoo, Hsin-Chang Lee, Pei-Cheng Hsu, Yun-Yue Lin
  • Patent number: 11835870
    Abstract: A passive flow induced vibration reduction system for use in a temperature conditioning system that controls the temperature of at least one component within a lithographic apparatus. This FIV reduction system includes: a conduit that provides a flow path for a liquid through the system; a liquid filled cavity in fluid connection with the conduit, wherein the fluid connection is provided via one or more openings in the wall of the conduit; a membrane configured such that it separates the liquid in the liquid filled cavity from a gas at a substantially ambient pressure and the membrane is configured such that compliance of the membrane reduces at least low frequency flow induced vibrations in the liquid flowing through the conduit; and an end-stop located on the gas side of the membrane, wherein the end-stop is configured to limit an extent of deflection of the membrane.
    Type: Grant
    Filed: June 18, 2020
    Date of Patent: December 5, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Güneş Nak{dot over (i)}boğlu, Nicholas Peter Waterson, Remco Van De Meerendonk, Steve Gregory Brust, Dirk Martinus Gerardus Petrus Wilhelmus Jakobs, Shravan Kottapalli
  • Patent number: 11821840
    Abstract: An apparatus and a method measure a reflectivity and/or transmittivity of an optical surface. The apparatus includes a pulsed coherent white light source for generating pulsed coherent white light, wherein the apparatus is adapted to irradiate the optical surface with at least a part of the generated pulsed coherent white light.
    Type: Grant
    Filed: November 9, 2021
    Date of Patent: November 21, 2023
    Assignee: Ultrafast Innovations GmbH
    Inventors: Daniel Cardenas, Minjie Zhan, Asger Kreiner, Alexander Guggenmos
  • Patent number: 11740564
    Abstract: A method comprises loading a wafer onto a wafer chuck of a lithography apparatus, projecting an extreme ultraviolet light through an opening of a frame structure of the lithography apparatus, onto the wafer, and introducing an airflow from an air curtain module on the wafer chuck toward the frame structure, wherein the air curtain module surrounds the wafer. The airflow forms an air curtain around the wafer, and shields the wafer from contaminants from the frame structure or a wafer stage.
    Type: Grant
    Filed: February 2, 2021
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tao-Hsin Chen, Chia-Yu Lee
  • Patent number: 11693324
    Abstract: An extreme ultraviolet (EUV) photolithography system detects debris travelling from an EUV generation chamber to a scanner. The photolithography system includes a detection light source and a sensor. The detection light source outputs a detection light across a path of travel of debris particles from the EUV generation chamber. The sensor senses debris particles by detecting interaction of the debris particles with the detection light.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: July 4, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Yu Tu, Chieh Hsieh, Shang-Chieh Chien, Li-Jui Chen, Heng-Hsin Liu
  • Patent number: 11681234
    Abstract: A method includes transporting a cleaning mask and a photomask into an enclosure of a lithography exposure apparatus, wherein the photomask includes a multilayered mirror structure, and the cleaning mask is free of the multilayered mirror structure; placing the cleaning mask on a reticle stage of the lithography exposure apparatus; and charging the cleaning mask to attract charged particles in the enclosure.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: June 20, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-An Chen, Li-Jui Chen, Heng-Hsin Liu, Tzung-Chi Fu, Han-Lung Chang
  • Patent number: 11599031
    Abstract: A target debris collection device for extreme ultraviolet (EUV) light source apparatus, includes a baffle body extending within an EUV vessel between a collector and an outlet port of the EUV vessel to allow EUV light reflected from the collector to pass through an internal transmissive region thereof, a discharge plate provided in a first end portion of the baffle body adjacent to the collector to collect the target material debris on an inner surface of the baffle body, a guide structure to guide the target material debris collected in the discharge plate to a collection tank, and a first heating member provided in the guide structure to prevent the target material debris from being solidified.
    Type: Grant
    Filed: December 20, 2021
    Date of Patent: March 7, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sunghyup Kim, Ho Yu, Jeonggil Kim, Minseok Choi
  • Patent number: 11573496
    Abstract: A lithographic apparatus comprising a projection system comprising at least one optical component and configured to project a pattern onto a substrate. The lithographic apparatus further comprises a control system arranged to reduce the effects of heating and/or cooling of an optical component in a lithographic process. The control system is configured at least: to select at least one of a plurality of mode shapes to represent a relationship between at least one input in the lithographic process and an aberration resulting from the input and to generate and apply a correction to the lithographic apparatus based on the mode shape.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: February 7, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Nick Kant, Martijn Cornelis Schaafsma
  • Patent number: 11562898
    Abstract: A method includes transferring a wafer to a position over a wafer chuck; ejecting a first gas from a purging device above the wafer to clean a top surface of the wafer; after ejecting the first gas, lifting a lifting pin through the wafer chuck to receive the wafer; and after the wafer is received by the lifting pin, ejecting a second gas from first openings in a sidewall of the lifting pin to a region between a bottom surface of the wafer and a top surface of the wafer chuck.
    Type: Grant
    Filed: March 30, 2021
    Date of Patent: January 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Min-Cheng Wu, Chi-Hung Liao
  • Patent number: 11543754
    Abstract: In some embodiments, the present disclosure relates to a process tool that includes a lithography apparatus arranged over a wafer chuck and an immersion hood apparatus laterally around the lithography apparatus. The lithography apparatus includes a photomask arranged between a light source and a lens. The immersion hood apparatus comprises input piping, output piping, and extractor piping. The input piping is arranged on a lower surface of the immersion hood apparatus and configured to distribute a liquid between the lens and the wafer chuck. The output piping is arranged on the lower surface of the immersion hood apparatus and configured to contain the liquid arranged between the lens and the wafer chuck. The extractor piping is arranged on an outer sidewall of the immersion hood apparatus and configured to remove any liquid above the wafer chuck that is outside of the immersion hood apparatus.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: January 3, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Yao Lee, Wei Chih Lin
  • Patent number: 11520246
    Abstract: In a method of cleaning a lithography system, during idle mode, a stream of air is directed, through a first opening, into a chamber of a wafer table of an EUV lithography system. One or more particles is extracted by the directed stream of air from surfaces of one or more wafer chucks in the chamber of the wafer table. The stream of air and the extracted one or more particle are drawn, through a second opening, out of the chamber of the wafer table.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: December 6, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Yu Tu, Shao-Hua Wang, Yen-Hao Liu, Chueh-Chi Kuo, Li-Jui Chen, Heng-Hsin Liu
  • Patent number: 11495381
    Abstract: Ferromagnetic materials are disclosed that comprise at least one Dirac half metal material. In addition, Dirac half metal materials are disclosed, wherein the material comprises a plurality of massless Dirac electrons. In addition, ferromagnetic materials are disclosed that includes at least one Dirac half metal material, wherein the material comprises a plurality of massless Dirac electrons, wherein the material exhibits 100% spin polarization, and wherein the plurality of electrons exhibit ultrahigh mobility. Spintronic devices and heterostructures are also disclosed that include a Dirac half metal material.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: November 8, 2022
    Assignee: The Trustees of the California State University
    Inventors: Nicholas Kioussis, Qilong Sun
  • Patent number: 11493751
    Abstract: An optical relay comprises a first scan mirror configured to receive an input optical beam, and to reflect the input optical beam as a first intermediate optical beam; a telecentric mirror configured to receive the first intermediate optical beam, and to reflect the first intermediate optical beam as a second intermediate optical beam; a second scan mirror configured to receive the second intermediate optical beam, and to reflect the second intermediate optical beam as an output optical beam; and a lens system disposed between the telecentric mirror and the first and second scan mirrors, such that the first intermediate optical beam and the second intermediate optical beam pass through the lens system. The optical relay may be a component of an optical system which further includes an optical engine.
    Type: Grant
    Filed: January 23, 2020
    Date of Patent: November 8, 2022
    Assignee: Vanderbilt University
    Inventors: Yuankai Tao, Joseph D. Malone
  • Patent number: 11487212
    Abstract: The present invention provides an exposure apparatus that exposes a substrate, comprising: an optical system configured to emit, in a first direction, light for exposing the substrate; a first supplier configured to supply a gas into a chamber where the optical system is arranged; and a second supplier configured to supply a gas to an optical path space where the light from the optical system passes through, wherein the second supplier includes a gas blower including a blowing port from which a gas is blown out in a second direction, and the guide member configured to guide the gas blown out from the blowing port to the optical path space, and the guide member includes a plate member extended on a side of the first direction of the blowing port so as to be arranged along the second direction.
    Type: Grant
    Filed: June 21, 2021
    Date of Patent: November 1, 2022
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Masato Homma
  • Patent number: 11484388
    Abstract: A mobile orthodontic treatment system includes comprising a mobile trailer and a panoramic machine provided within the trailer. The panoramic machine is configured to obtain a 2-D image of a patient's mouth and includes a base secured to the floor of the housing and a stanchion secured to the trailer by a bracket. The mobile orthodontic treatment system further includes a digital scanner and a monitor provided within the housing. The digital scanner is configured to obtain a 3-D image of the patient's mouth and display the image on the monitor. The digital scanner and the monitor are mounted on a wall of the housing by a wall mount articulating bracket. The mobile orthodontic treatment system further includes a lift assembly provided on one of a side and an end of the housing to enable disabled people to enter and exit the housing.
    Type: Grant
    Filed: February 9, 2021
    Date of Patent: November 1, 2022
    Assignee: AlignGo, LLC
    Inventor: Sam William Levine
  • Patent number: 11488849
    Abstract: A substrate processing apparatus comprises a holder configured to hold a substrate; a processing liquid supply configured to supply a processing liquid onto the substrate held by the holder; and a resistance value varying mechanism configured to vary an electrical resistance of the holder in contact with the substrate.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: November 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yosuke Hachiya, Yusuke Hashimoto, Tadashi Iino
  • Patent number: 11481610
    Abstract: A neuro-bionic device based on a two-dimensional Ti3C2 material is provided. The device includes a Pt/Ti/SiO2/Si substrate, a neuro-bionic layer formed on a Pt film layer of the Pt/Ti/SiO2/Si substrate, and an Al electrode layer formed on the neuro-bionic layer. The neuro-bionic layer is made of a two-dimensional Ti3C2 material. The neuro-bionic device of the present invention is prepared by an evaporating coating method and a drop-coating method. The preparation process is relatively simple. The prepared device can successfully simulate the characteristics of synapse. More importantly, the resistance of the device can be modulated continuously under a scanning of a pulse sequence with pulse width and interval of 10 ns, which is beneficial to the application of the device in the ultrafast synapse simulation.
    Type: Grant
    Filed: April 28, 2019
    Date of Patent: October 25, 2022
    Assignee: HEBEI UNIVERSITY
    Inventors: Xiaobing Yan, Kaiyang Wang, Deliang Ren
  • Patent number: 11448956
    Abstract: A reflective mask includes a substrate, a reflective multilayer disposed on the substrate, a capping layer disposed on the reflective multilayer, a photo catalytic layer disposed on the capping layer, and an absorber layer disposed on the photo catalytic layer and carrying circuit patterns having openings. Part of the photo catalytic layer is exposed at the openings of the absorber layer, and the photo catalytic layer includes one selected from the group consisting of titanium oxide (TiO2), tin oxide (SnO), zinc oxide (ZnO) and cadmium sulfide (CdS).
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: September 20, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ching-Huang Chen, Chi-Yuan Sun, Hua-Tai Lin, Hsin-Chang Lee, Ming-Wei Chen
  • Patent number: 11415879
    Abstract: A reticle enclosure includes a base including a first surface, a cover including a second surface and coupled to the base with the first surface facing the second surface. The base and the cover form an internal space that includes a reticle. The reticle enclosure includes restraining mechanisms arranged in the internal space and for securing the reticle, and structures disposed adjacent the reticle in the internal space. The structures enclose the reticle at least partially, and limit passage of contaminants between the internal space and an external environment of the reticle enclosure. The structures include barriers disposed on the first and second surfaces. In other examples, a padding is installed in gaps between the barriers and the first and second surfaces. In other examples, the structures include wall structures disposed on the first and second surfaces and between the restraining mechanisms.
    Type: Grant
    Filed: March 5, 2021
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Tsung Shih, Tsung-Chih Chien, Tsung Chuan Lee, Hao-Shiang Chang
  • Patent number: 11415897
    Abstract: Calibrating stochastic signals in compact modeling is provided by obtaining data of process variations in producing a resist mask; calibrating a continuous compact model of the resist mask based on the data; evaluating the continuous compact model against a stochastic compact model that is based on the data; choosing a functional description of an edge location distribution for the stochastic compact model; mapping image parameters from the evaluation to edge distribution parameters according to the functional description; determining an edge location range for the stochastic compact model based on scaled measurements from the image parameters; calibrating a threshold for the resist mask and updating parameters of the stochastic compact model to reduce a difference between the data and a modeled Line Edge Roughness (LER) value; and outputting the stochastic compact model.
    Type: Grant
    Filed: June 4, 2021
    Date of Patent: August 16, 2022
    Assignee: Synopsys, Inc.
    Inventors: Zachary Adam Levinson, Yudhishthir Prasad Kandel, Ulrich Welling
  • Patent number: 11418101
    Abstract: Since wires connected to a linear motor are routed in a vacuum sample chamber, outgassing is generated from wire coating and efficiency of assembly operations is reduced. Further, there is a problem that thrust generation efficiency of the linear motor is reduced when a gap between a coil and a permanent magnet of the linear motor cannot be small. In order to solve the above problems, a linear motor for vacuum is provided, the linear motor for vacuum including: a mover having a permanent magnet; and a stator having a support member to which a coil is fixed, in which the support member includes a vacuum sealing portion that vacuum seals with a wall surface of a vacuum sample chamber, and a feed-through for supplying a current to the coil provided in the vacuum sample chamber.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: August 16, 2022
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tomotaka Shibazaki, Masaki Mizuochi
  • Patent number: 11385547
    Abstract: An extraction body for a support apparatus of a lithographic apparatus. The support apparatus is configured to support an object. The extraction body is formed with an opening at a surface thereof. The opening extends within the extraction body forming a first passageway. The first passageway is configured to fluidly communicate an extraction channel in the extraction body to liquid between the surface and the object. A first pressure in the extraction channel is less than ambient pressure. At least a part of the first passageway is sized and dimensioned such that when the liquid enters the first passageway via the opening, a second pressure in the first passageway associated with surface tension of the liquid is lower than the first pressure such that at least a portion of the liquid is retained in the first passageway.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: July 12, 2022
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Gijs Kramer, Martijn Houben, Nicholas Peter Waterson, Thibault Simon Mathieu Laurent, Yuri Johannes Gabriël Van De Vijver, Marcus Martinus Petrus Adrianus Vermeulen, Simon Karel Ravensbergen, Vincentius Fransiscus Cloosterman, Siegfried Alexander Tromp, Coen Hubertus Matheus Baltis, Justin Johannes Hermanus Gerritzen, Niek Jacobus Johannes Roset
  • Patent number: 11378889
    Abstract: An immersion lithography system includes an immersion hood, wherein the immersion hood includes a lens system. The immersion lithography system further includes a wafer stage, wherein the wafer stage is moveable relative to the immersion hood, and the wafer stage includes an area for receiving a wafer. The immersion lithography system further includes a first particle capture area on the wafer stage outside of the area for receiving the wafer, wherein the first particle capture area includes silicon, silicon nitride oxide or a photoresist material.
    Type: Grant
    Filed: October 29, 2020
    Date of Patent: July 5, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yung-Yao Lee, Wei Chih Lin, Chih Chien Lin
  • Patent number: 11378894
    Abstract: The present disclosure provides a lithography system. The lithography system includes an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; and a cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: July 5, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shang-Chieh Chien, Jeng-Horng Chen, Jui-Ching Wu, Chia-Chen Chen, Hung-Chang Hsieh, Chi-Lun Lu, Chia-Hao Yu, Shih-Ming Chang, Anthony Yen
  • Patent number: 11380515
    Abstract: An object of the present disclosure is to provide a charged particle beam device that can suppress an influence to a device generated according to the preliminary exhaust. In order to achieve the object, suggested is a charged particle beam device including a vacuum sample chamber that maintains an atmosphere around a sample to be irradiated with a charged particle beam in a vacuum state; and a preliminary exhaust chamber to which a vacuum pump for vacuuming an atmosphere of the sample introduced into the vacuum sample chamber is connected, in which the vacuum sample chamber is a box-shaped body including a top plate, and a portion between the top plate and a side wall of the box-shaped body positioned below the top plate includes a portion in which the top plate and the side wall are not in contact with each other.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: July 5, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Keiichiro Hosobuchi, Masaki Mizuochi, Shuichi Nakagawa, Tomotaka Shibazaki, Takaaki Kikuchi
  • Patent number: 11372339
    Abstract: A device manufacturing method using a lithographic apparatus having a localized immersion system for confining an immersion liquid to a space between a projection system and a substrate to be exposed by the projection system, the method including: predicting a set of first locations on the substrate having a risk of residual liquid from the immersion system when exposed using an initial route for a substrate to expose a plurality of fields thereon; determining a set of modifications to the initial route to reduce the risk of residual loss; test exposing at least one test substrate using the initial route; obtaining a set of second locations of defects in the exposed test substrate; selecting a subset of the set of modifications by comparing the first locations and the second locations; and exposing a plurality of production substrates using the initial route modified by the selected subset of modifications.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: June 28, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Giovanni Luca Gattobigio, Pieter Jeroen Johan Emanuel Hoefnagels, Ronald Frank Kox, Marcus Johannes Van Der Zanden, Maarten Marinus Van Oene, Jorge Alberto Vieyra Salas
  • Patent number: 11337292
    Abstract: A tin trap device may include a housing including a gas inlet port into which gas containing tin flows from a chamber device, an internal space which communicates with the gas inlet port, and a gas exhaust port which exhausts the gas while communicating with the internal space; a multiple tube including a plurality of tube members, arranged on a flow path of the gas traveling to the gas exhaust port from the gas inlet port through the internal space, and having a temperature at which the tin deposited from the gas adheres to the tube member; and a gas travel direction changing member configured to change a travel direction of at least fastest gas of the gas traveling from the gas inlet port to the multiple tube.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: May 17, 2022
    Assignee: Gigaphoton Inc.
    Inventors: Gouta Niimi, Georg Soumagne
  • Patent number: 11300493
    Abstract: Embodiments of a continuous dust accumulation monitoring system comprise an enclosure adapted for use in electrical hazardous locations, a sample area for collecting ambient dust, a dust accumulation sensor assembly installed in the enclosure configured to generate a signal based on the amount of ambient dust collected on the sample area and a circuit board within the enclosure configured to receive the signal from the dust accumulation sensor assembly. The continuous dust accumulation monitoring system may be connected to system control hardware.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: April 12, 2022
    Assignee: Industrial Intelligence, Inc.
    Inventors: George T. Armbruster, Jr., Bruce Ferris, Shane Diller, Slava Orlov
  • Patent number: 11300890
    Abstract: A support table configured to support a substrate, the support table having a support section to support a substrate and a conditioning system to supply heat energy to and/or remove heat energy from the support section, wherein the conditioning system comprises a plurality of conditioning units that are independently controllable.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: April 12, 2022
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johan Gertrudis Cornelis Kunnen, Johannes Henricus Wilhelmus Jacobs, Coen Cornelis Wilhelmus Verspaget, Ronald Van Der Ham, Ivo Adam Johannes Thomas, Martijn Houben, Thibault Simon Mathieu Laurent, Gregory Martin Mason Corcoran, Ruud Hendrikus Martinus Johannes Bloks, Gerben Pieterse, Pieter Lein Joseph Gunter, Marinus Jan Remie, Sander Catharina Reinier Derks
  • Patent number: 11287755
    Abstract: A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: March 29, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sheng-Ta Lin, Li-Jui Chen, Shang-Chieh Chien
  • Patent number: 11269261
    Abstract: A system includes a frame, a projection lens, a wafer table, and a cleaner. The frame has an opening vertically extending through the frame. The projection lens is disposed on the frame. The wafer table is below the frame, in which the wafer table is movable along a horizontal direction. The cleaner is over the frame, in which the cleaner comprises a sticky structure movable along a vertical direction and through the opening of the frame.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: March 8, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Min-Cheng Wu, Chi-Hung Liao
  • Patent number: 11243463
    Abstract: Provided is a supporting frame in which a vent hole detachably arranging a filter and to which a pellicle film for extreme ultraviolet lithography can be attached. A support frame according to an embodiment of the present invention is a support frame for arranging a pellicle film, the support frame has a through hole being made from a hole extending along a first direction, the first direction being almost parallel to a surface direction of the pellicle film, and a hole extending along a second direction, the second direction not being parallel to the first direction; and the support frame includes a filter, the filter arranged at an inside of the through hole or at an end of the through hole, and the filter is arranged apart from the pellicle film.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: February 8, 2022
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Akira Ishikawa, Atsushi Okubo, Yosuke Ono, Kazuo Kohmura
  • Patent number: 11231658
    Abstract: An arrangement for an EUV lithography apparatus includes a reflective optical element (60) having an optically effective surface (62) configured to reflect incident EUV radiation, and a filament arrangement (65) configured to produce a reagent that cleans the optically effective surface (62). The filament arrangement (65) has at least one filament (66) configured as a glow or heating element. The at least one filament (66) is arranged along the optically effective surface (62) of the reflective optical element (60) wherein a thickness and/or positioning of the at least one filament (66) are/is chosen so as to minimize an optical influence of the at least one filament (66) in the far field of the EUV radiation reflected by the optically effective surface (62).
    Type: Grant
    Filed: May 24, 2020
    Date of Patent: January 25, 2022
    Assignee: CARL ZEISS SMT GMBH
    Inventors: Holger Kierey, Wolfgang Merkel
  • Patent number: 11221563
    Abstract: Embodiments described herein relate to a dynamically controlled lens used in lithography tools. Multiple regions of the dynamic lens can be used to transmit a radiation beam for lithography process. By allowing multiple regions to transmit the radiation beam, the dynamically controlled lens can have an extended life cycle compared to conventional fixed lens. The dynamically controlled lens can be replaced or exchanged at a lower frequency, thus, improving efficiency of the lithography tools and reducing production cost.
    Type: Grant
    Filed: October 16, 2020
    Date of Patent: January 11, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yueh Lin Yang, Chi-Hung Liao
  • Patent number: 11204556
    Abstract: A method of controlling a feedback system with a data matching module of an extreme ultraviolet (EUV) radiation source is disclosed. The method includes obtaining a slit integrated energy (SLIE) sensor data and diffractive optical elements (DOE) data. The method performs a data match, by the data matching module, of a time difference of the SLIE sensor data and the DOE data to identify a mismatched set of the SLIE sensor data and the DOE data. The method also determines whether the time difference of the SLIE sensor data and the DOE data of the mismatched set is within an acceptable range. Based on the determination, the method automatically validates a configurable data of the mismatched set such that the SLIE sensor data of the mismatched set is valid for a reflectivity calculation.
    Type: Grant
    Filed: August 17, 2020
    Date of Patent: December 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Chih Huang, Chi Yang, Che-Chang Hsu, Li-Jui Chen, Po-Chung Cheng
  • Patent number: 11187976
    Abstract: A method of detecting defects of a photoresist pattern includes generating a scanning electron microscope (SEM) image of a surface of a photoresist pattern and signal intensity data relative to pixel position of the surface of the photoresist pattern. The method also includes setting a lower reference intensity threshold value and an upper reference intensity threshold value used as reference values for detecting defects. The method further includes classifying a pixel position of the signal intensity data having a signal intensity value which is less than the lower reference intensity threshold value or greater than the upper reference intensity threshold value as a defect position.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: November 30, 2021
    Assignee: SK hynix Inc.
    Inventor: Jun Taek Park
  • Patent number: 11181573
    Abstract: An inspection apparatus configured to inspect a target object includes an inspector configured to perform an inspection of an electrical characteristic upon the target object; a gas flow source provided within the inspector and configured to generate a gas flow which cools an inside of the inspector; a position adjuster configured to place the target object thereon and perform a position adjustment between the placed target object and the inspector; a housing which accommodates the inspector and the position adjuster in a same space; and a circulation device configured to circulate a gas by the gas flow source between the inside of the inspector and a region where the position adjuster is located within the space, the circulation device including a cooler configured to cool the gas being circulated and a foreign substance remover configured to remove a foreign substance from the gas being circulated.
    Type: Grant
    Filed: July 10, 2019
    Date of Patent: November 23, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tomoya Endo, Kentaro Konishi
  • Patent number: 11169355
    Abstract: A lens unit capable of checking the airtightness of a seal member between an object side lens and an inner circumferential surface of a lens barrel. A part of an end portion of an air hole on the object side is opened radially inward from the inner circumferential surface of the lens barrel, and at least part of the end portion of the air hole on the image side is opened. When the image side lens is in close contact with the lens installation surface of the flange portion, the air fills the lens barrel through the air hole. The seal member provided between the object side lens and the inner circumferential surface of the lens barrel is damaged or it's not attached to a predetermined position from the beginning, it's still possible to measure air leakages, thus rendering it possible to exactly check the airtightness of the seal member.
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: November 9, 2021
    Assignee: MAXELL, LTD.
    Inventor: Hiroyuki Hirata
  • Patent number: 11156849
    Abstract: An illumination unit of the present disclosure includes an excitation light source, a phosphor section, an excitation-light intensity distribution generation section, and an illumination optical system. The excitation light source emits excitation light. The phosphor section includes a fluorescent light-emission surface that performs fluorescent light emission in response to receiving of the excitation light. The excitation-light intensity distribution generation section is provided between the excitation light source and the phosphor section, and varies an intensity distribution of the excitation light to bring the intensity distribution of the excitation light closer to a desired excitation-light intensity distribution on the fluorescent light-emission surface. The illumination optical system generates, on the basis of light derived from the fluorescent light emission from the phosphor section, illumination light with which an image display device is to be irradiated.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: October 26, 2021
    Assignee: SONY CORPORATION
    Inventors: Izushi Kobayashi, Yoshihisa Sato
  • Patent number: 11156921
    Abstract: A fluid handling structure configured to confine immersion fluid to a region of a lithographic apparatus, the fluid handling structure having an aperture formed therein for the passage therethrough of a radiation beam through the immersion fluid, the aperture defining an immersion space to be filled with the immersion fluid, and having an inner part and an outer part, wherein the inner part and the outer part are arranged so as to form therebetween a variable space and a connecting space that connects the variable space to the immersion space, wherein the outer part is movable relative to the inner part in a first plane so as to change in shape the variable space but not the connecting space, and wherein the fluid handling structure is configured to contain the immersion fluid in the variable space.
    Type: Grant
    Filed: November 22, 2018
    Date of Patent: October 26, 2021
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Raphael Nico Johan Stegen, Giovanni Luca Gattobigio, Theodorus Wilhelmus Polet
  • Patent number: 11152241
    Abstract: A substrate processing apparatus disclosed herein is capable of communicating with an external control apparatus. The substrate processing apparatus includes: a container placing portion configured to place thereon a transport container accommodating a product substrate therein; a dummy substrate accommodating unit configured to accommodate dummy substrates therein; a transport chamber provided with a transport mechanism configured to transport the product substrate and the dummy substrates; a processing chamber configured to process the product substrate and the dummy substrates transported thereto by the transport mechanism; and a controller configured to determine whether or not a number of unusable dummy substrates among the dummy substrates accommodated in the dummy substrate accommodating unit is equal to or greater than a set value, and notify the external control device of a warning when it is determined that the number of dummy substrates is equal to or greater than the set value.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: October 19, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Rintaro Takao, Hiromichi Fujii, Yoshihide Kagihara
  • Patent number: 11148229
    Abstract: A laser processing apparatus may include: a laser generator configured to generate a laser beam; a stage configured to support a target object; at least one supply nozzle on the stage to eject an air toward the stage; a suction unit configured to inhale external air; and a suction structure on the stage and adjacent to the at least one supply nozzle. The suction structure may include a suction hole connected to the suction unit to inhale the external air. The suction structure may include an inclined surface in which the suction hole is defined. The suction structure may include a first surface adjacent to the supply nozzle, and an opening may be defined in a region of the first surface adjacent to a bottom surface. A distance between the inclined surface and the target object may be less than or equal to a height of the opening.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: October 19, 2021
    Assignee: Samsung Display Co., Ltd.
    Inventors: Do-sun Kim, Taekkyo Kang, Seungho Myoung, Minkyu Choi, Gyoowan Han
  • Patent number: 11143862
    Abstract: An exposure device that draws a pattern on a substrate by shining a beam from a light source device on substrate and scanning the beam in a main scanning direction while varying the intensity of beam according to pattern information, including: a scanning unit having a beam scanning unit that includes a polygonal mirror whereby the beam is oriented to scan the beam, and light detector for photoelectric detection of reflected light generated when beam is shined on substrate; an electro-optical element for controlling the beam's intensity modulation according to pattern information such that at least part of second pattern to be newly drawn is drawn on top of at least part of first pattern formed on substrate; and a measurement unit measuring relative positional relationship between the first and second pattern on the basis of a detection signal output by the detector while second pattern is drawn on substrate.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: October 12, 2021
    Assignee: NIKON CORPORATION
    Inventors: Yoshiaki Kito, Masakazu Hori, Yosuke Hayashida, Masaki Kato
  • Patent number: 11143968
    Abstract: A fluid handling structure for a lithographic apparatus is disclosed. The fluid handling structure has a plurality of openings arranged in plan, in a line. The fluid handling structure is configured such that the openings are directed, in use, towards a facing surface, the facing surface being a substrate and/or a substrate table. The substrate table is configured to support the substrate. Outward of the line of openings is a damper. The damper may have a width that varies along the line of openings. The damper width is defined between the line of openings and an opposing damper edge.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: October 12, 2021
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Daniel Jozef Maria Direcks, Sjoerd Nicolaas Lambertus Donders, Nicolaas Rudolf Kemper, Danny Maria Hubertus Philips, Michel Riepen, Clemens Johannes Gerardus Van Den Dungen, Adrianes Johannes Baeten, Fabrizio Evangelista
  • Patent number: 11121018
    Abstract: A reticle holding tool is provided. The reticle holding tool includes a housing, a reticle chuck, and a gas delivery assembly. The housing includes an opening, a top housing member, and a lateral housing member extending from the top housing member and terminating at a lower edge which is located on a predetermined plane. The reticle chuck is positioned in the housing and has an effective surface configured to secure a reticle. The effective surface is located between the predetermined plane and the top housing member. The reticle chuck is movable between two boundary lines that are perpendicular to the effective surface. A width of the opening is greater than a distance between the two boundary lines. The gas delivery assembly is positioned within the housing and configured to supply gas into the housing.
    Type: Grant
    Filed: July 13, 2020
    Date of Patent: September 14, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Chueh-Chi Kuo, Tsung-Yen Lee, Chia-Hsin Chou, Tzung-Chi Fu, Li-Jui Chen, Po-Chung Cheng, Che-Chang Hsu
  • Patent number: 11112598
    Abstract: An exposure device that draws a pattern on a substrate by shining a beam from a light source device on substrate and scanning the beam in a main scanning direction while varying the intensity of beam according to pattern information, including: a scanning unit having a beam scanning unit that includes a polygonal mirror whereby the beam is oriented to scan the beam, and light detector for photoelectric detection of reflected light generated when beam is shined on substrate; an electro-optical element for controlling the beam's intensity modulation according to pattern information such that at least part of second pattern to be newly drawn is drawn on top of at least part of first pattern formed on substrate; and a measurement unit measuring relative positional relationship between the first and second pattern on the basis of a detection signal output by the detector while second pattern is drawn on substrate.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: September 7, 2021
    Assignee: NIKON CORPORATION
    Inventors: Yoshiaki Kito, Masakazu Hori, Yosuke Hayashida, Masaki Kato
  • Patent number: 11106146
    Abstract: A system is disclosed. The system includes a cleaning device and a scanner device. The cleaning device is configured to clean a mask. The scanner device is coupled to the cleaning device and is configured to receive the mask, a reference image and a real-time image that is captured at the mask. The reference image includes at least one first mark image having a plurality of mapping marks on the mask. The real-time image includes at least one second mark image having the plurality of mapping marks on the mask. The scanner device is configured to map the at least one second mark image in the real-time image with the at least one first image in the reference image, when a lithography exposing process is performed. A method is also disclosed herein.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: August 31, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hao-Yu Lan, Po-Chung Cheng, Ching-Juinn Huang, Tzung-Chi Fu, Tsung-Yen Lee
  • Patent number: 11101613
    Abstract: A laser device includes a condensing assembly for condensing laser light output from a laser oscillator and a cover for accommodating the condensing assembly, the cover including protection windows permeable to the laser light on an optical path of the laser light. The protection windows include at least one first protection window having a positive refractive index temperature coefficient and at least one second protection window having a negative refractive index temperature coefficient, the at least one first protection window and the at least one second protection window being arranged along the optical path of the laser light.
    Type: Grant
    Filed: May 15, 2019
    Date of Patent: August 24, 2021
    Assignee: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Takahiro Tachibana, Takehisa Okuda, Yasuyuki Fujiya, Shuho Tsubota
  • Patent number: 11102381
    Abstract: Disclosed herein are technological solutions that are configured to limit sub-optimal visualization of the surgical field during robotic and manual laparoscopic surgery. Such technological solutions are configured to systematically assess cleanliness of an imaging element of a laparoscope (or other type of similar imaging apparatus) and take action for enabling or causing the imaging element to be cleaned. In preferred embodiments, assessment of the cleanliness of the imaging element provides information that can be used for enabling or causing cleaning of the imaging element to be performed in-vivo in either a manual, semi-autonomous or autonomous manner. In this manner such technological solutions advantageously enable the surgical field during surgery to be more efficiently and consistently maintained in an optimal condition.
    Type: Grant
    Filed: January 5, 2021
    Date of Patent: August 24, 2021
    Assignee: BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM CLEARCAM INC.
    Inventors: Farshid Alambeigi, Alexander Cohen, Christopher R. Idelson, Christopher Rylander