Line Width Patents (Class 356/636)
  • Patent number: 11869178
    Abstract: A method of predicting virtual metrology data for a wafer lot that includes receiving first image data from an imager system, the first image data relating to at least one first wafer lot, receiving measured metrology data from metrology equipment relating to the at least one first wafer lot, applying one or more machine learning techniques to the first image data and the measured metrology data to generate at least one predictive model for predicting at least one of virtual metrology data or virtual cell metrics data of wafer lots, and utilizing the at least one generated predictive model to generate at least one of first virtual metrology data or first virtual cell metrics data for the first wafer lot.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: January 9, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Amitava Majumdar, Qianlan Liu, Pradeep Ramachandran, Shawn D. Lyonsmith, Steve K. McCandless, Ted L. Taylor, Ahmed N. Noemaun, Gordon A. Haller
  • Patent number: 11516444
    Abstract: A switchgear includes a modular optical monitoring system for examining switchgear switching positions and at least one isolating switch accommodated in an encapsulated housing. The encapsulated housing is disposed in an installation housing. The encapsulated housing has a first transparent window in one region and a fiber-optic system leads from an outer side of the installation housing to the first transparent window.
    Type: Grant
    Filed: February 21, 2020
    Date of Patent: November 29, 2022
    Assignee: Siemens Aktiengesellschaft
    Inventor: Kay Czeromin
  • Patent number: 11252326
    Abstract: Pulsed laser mapping imaging in a light deficient environment is disclosed. A system includes an emitter for emitting pulses of electromagnetic radiation and an image sensor comprising a pixel array for sensing reflected electromagnetic radiation. The system includes a controller configured to synchronize timing of the emitter and the image sensor. The system is such that at least a portion of the pulses of electromagnetic radiation emitted by the emitter comprises a laser mapping pattern.
    Type: Grant
    Filed: January 28, 2020
    Date of Patent: February 15, 2022
    Inventors: Joshua D. Talbert, Donald M. Wichern
  • Patent number: 11036912
    Abstract: The present disclosure generally relates to semiconductor structures and, more particularly, to overlay optimization and methods of manufacture. The method includes performing, by a computing device, an exposure with a correction parameter to a first wafer; performing, by the computing device, a decorrection of the correction parameter; collecting, by the computing device, overlay data in response to the exposure and the decorrection; estimating, by the computing device, an optimal parameter from the overlay data; and applying, by the computing device, the optimal parameter to a second wafer to align an overlay in the second wafer.
    Type: Grant
    Filed: November 11, 2019
    Date of Patent: June 15, 2021
    Assignee: GLOBALFOUNDRIES U.S. INC.
    Inventors: Richard P. Good, Ian R. Krumanocker
  • Patent number: 10864825
    Abstract: A wear degree information acquiring device includes a power cable measuring unit which measures a distance from a predetermined position of a vehicle to a wearing part coming into contact with the vehicle among power cables configured to supply power to the vehicle, and a distance from the predetermined position of the vehicle to a non-wearing part not coming into contact with the vehicle among the power cables; and a wear degree information acquiring unit which acquires information indicating a degree of wear of the power cable, on the basis of a difference between the distance from the predetermined position of the vehicle to the wearing part and the distance from the predetermined position of the vehicle to the non-wearing part.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: December 15, 2020
    Assignee: MITSUBISHI HEAVY INDUSTRIES ENGINEERING, LTD.
    Inventors: Katsuaki Morita, Kazuki Ozaki, Hisashi Motoyama
  • Patent number: 10485629
    Abstract: An endoscope device includes: an insertion unit configured to be inserted into a subject and capture a subject image inside the subject from a distal end thereof; an imaging unit configured to capture the subject image; a subject distance calculation unit configured to calculate a subject distance between the distal end of the insertion unit and the subject; and a distance information notification unit configured to give a notice of distance information on the subject distance.
    Type: Grant
    Filed: February 12, 2018
    Date of Patent: November 26, 2019
    Assignee: SONY OLYMPUS MEDICAL SOLUTIONS INC.
    Inventors: Taihei Michihata, Yuichi Yamada
  • Patent number: 10470649
    Abstract: There is provided herein, an endoscope comprising an elongated shaft terminating with a tip section wherein said tip section comprises a permanent section connected to the elongated shaft and a removable section securely connectable to the permanent section, wherein the removable section comprises at least one capture device and at least one light source.
    Type: Grant
    Filed: April 19, 2017
    Date of Patent: November 12, 2019
    Assignee: EndoChoice, Inc.
    Inventors: Avi Levy, Moshe Levi, Amram Aizenfeld
  • Patent number: 10130245
    Abstract: In order to perform a superior observation, in which halation is less likely to occur, by achieving uniform illumination from near sites to far sites and by ensuring a satisfactory light distribution and brightness, both when performing normal observation and when performing near-field observation, an endoscope apparatus includes an observation optical system that is provided at a distal end of an inserted portion of the endoscope apparatus to observe an observation subject and a plurality of illumination optical systems that are provided in the inserted portion and that illuminate the same viewing field by distributing illumination light emitted from a light source over the observation subject, wherein, of the plurality of the illumination optical systems, the distance from the observation optical system to the widest-angle illumination optical system is smaller than the distance from the observation optical system to the narrowest-angle illumination optical system.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: November 20, 2018
    Assignee: OLYMPUS CORPORATION
    Inventors: Hideyasu Takato, Nobuhiko Sone
  • Patent number: 9243902
    Abstract: Aspects of the present invention relate to systems, methods, and computer program products for tracking an orientation of a first object. The system includes a light emitting device located relative to a second object at a fixed predetermined position; a sensor having a photodetector array that is configured to receive incident light emitted from the light emitting device, the photodetector array being mounted on the first object; and a processor coupled to the photodetector array, the processor configured to determine the orientation of the first object relative to the second object based on an angle of incident light detected by the photodetector array from the light emitting device.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: January 26, 2016
    Assignee: Thales Visionix, Inc.
    Inventors: Robert B. Atac, Eric Foxlin
  • Patent number: 9213003
    Abstract: A method is provided for characterizing a mask having a structure, comprising the steps of: —illuminating said mask under at least one illumination angle with monochromatic illuminating radiation, so as to produce a diffraction pattern of said structure that includes at least two maxima of adjacent diffraction orders, —capturing said diffraction pattern, —determining the intensities of the maxima of the adjacent diffraction orders, —determining an intensity quotient of the intensities. A mask inspection microscope for characterizing a mask in conjunction with the performance of the inventive method is also provided.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: December 15, 2015
    Assignee: Carl Zeiss SMS GmbH
    Inventor: Sascha Perlitz
  • Patent number: 9200889
    Abstract: A strain gauge includes a substrate (2) for mounting an element (3) to be reversibly lengthened by a force applied while displaying a variation in the resistance thereof, the element (3) lengthening itself along an axis for measurement by the gauge. The gauge includes at least one contrast target (5, 6) capable of reflecting an incident light beam, the at least one contrast target (5, 6) being placed on the gauge in a predetermined position that makes it possible to predetermine the center of the axis (4), for measurement by the strain gauge (1), by detecting the position of the at least one contrast target (5, 6).
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: December 1, 2015
    Assignee: EUROPEAN AERONAUTIC DEFENCE AND SPACE COMPANY EADS FRANCE
    Inventors: Nicolas Swiergiel, Catherine Bosquet, Sebastien Didierjean
  • Patent number: 9157732
    Abstract: A first metrology method includes the steps of projecting a first image and a second image, aligning the first image and the second image to form an aligned image of a known size, and determining a dimension of a target object by comparing the aligned image to the target object. A second metrology method includes the steps of projecting a first image and a second image, aligning the first image and the second image to form an aligned image of a known size by synchronously adjusting a zoom factor for projecting the first image and an angle for projecting the second image, and determining a dimension of a target object by comparing the aligned image to the target object.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: October 13, 2015
    Assignee: Covidien LP
    Inventors: Alexey Sharonov, Candido Dionisio Pinto
  • Patent number: 8873054
    Abstract: Various metrology systems and methods are provided. One metrology system includes a light source configured to produce a diffraction-limited light beam, an apodizer configured to shape the light beam in the entrance pupil of illumination optics, and optical elements configured to direct the diffraction-limited light beam from the apodizer to an illumination spot on a grating target on a wafer and to collect scattered light from the grating target. The metrology system further includes a field stop and a detector configured to detect the scattered light that passes through the field stop. In addition, the metrology system includes a computer system configured to determine a characteristic of the grating target using output of the detector.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: October 28, 2014
    Assignee: KLA-Tencor Corp.
    Inventors: Daniel Kandel, Vladimir Levinski, Alexander Svizher, Joel Seligson, Andrew Hill, Ohad Bachar, Amnon Manassen, Yung-Ho Alex Chuang, Ilan Sela, Moshe Markowitz, Daria Negri, Efraim Rotem
  • Patent number: 8823936
    Abstract: The invention provides a structure for critical dimension and overlay measurement including a measuring unit, a first measurement pattern for measuring overlay and a second measurement pattern for measuring linewidth, line density and/or line semi-density. The first target pattern includes an outer bar structure disposed on a first layer and an inner bar structure disposed on a second layer; the outer bar structure and/or the inner bar structure has a same shared pattern structure with the second target pattern. The pattern structure includes four bars with the same shape positioned orthogonally and closely to each other, and at least two orthogonally positioned bars include N equally spaced rectangular lines of the same width, wherein, N is an odd number; the N rectangular lines include one central rectangular line and N?1 auxiliary rectangular lines.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: September 2, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Yunqing Dai, Jian Wang, Zhibiao Mao
  • Patent number: 8730474
    Abstract: The invention relates to a method and an apparatus for measuring masks for photolithography. In this case, structures to be measured on the mask on a movable mask carrier are illuminated and imaged as an aerial image onto a detector, the illumination being set in a manner corresponding to the illumination in a photolithography scanner during a wafer exposure. A selection of positions at which the structures to be measured are situated on the mask is predetermined, and the positions on the mask in the selection are successively brought to the focus of an imaging optical system, where they are illuminated and in each case imaged as a magnified aerial image onto a detector, and the aerial images are subsequently stored. The structure properties of the structures are then analyzed by means of predetermined evaluation algorithms. The accuracy of the setting of the positions and of the determination of structure properties is increased in this case.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: May 20, 2014
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Thomas Scheruebl, Holger Seitz, Ulrich Matejka, Axel Zibold, Rigo Richter
  • Patent number: 8703369
    Abstract: In one or more embodiments, the disclosure relates to a method of setting a photolithography exposure machine, comprising: forming on a photolithography mask test patterns and circuit patterns, transferring the patterns to a resin layer covering a wafer, measuring a critical dimension of each test pattern transferred, and determining a focus setting error value of the photolithography machine from the measure of the critical dimension of each pattern, the test patterns formed on the mask comprising a first reference test pattern and a second test pattern forming for a photon beam emitted by the photolithography machine and going through the mask, an optical path having a length different from an optical path formed by the first test pattern and the circuit patterns formed on the mask.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: April 22, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Nicolas Spaziani, Jean Massin
  • Patent number: 8576409
    Abstract: A method for measuring the internal space of an elongate body. The elongate body has in a global xyz-coordinate system a longitudinal axis x. A laser profile scan is carried out, in which a laser scanner, which carries out scans in a plane, is moved through the internal space of the elongate body. The scan plane is tilted about the y-axis by a tilt angle ? or about the z-axis by a tilt angle ?. Preferably, the scan plane is tilted about the y-axis and the z-axis corresponding to the global coordinate system of the internal space. An apparatus for carrying out the method.
    Type: Grant
    Filed: September 4, 2009
    Date of Patent: November 5, 2013
    Assignee: Lufthansa Technik AG
    Inventor: Oliver Sascha Thomaschewski
  • Patent number: 8488128
    Abstract: A test structure is presented test structure on a substrate for monitoring a LER and/or LWR effect, said test structure comprising an array of features manufactured with amplified LER and/or LWR effect.
    Type: Grant
    Filed: March 1, 2009
    Date of Patent: July 16, 2013
    Assignee: Nova Measuring Instruments Ltd.
    Inventor: Boaz Brill
  • Patent number: 8441639
    Abstract: Various metrology systems and methods are provided.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: May 14, 2013
    Assignee: KLA-Tencor Corp.
    Inventors: Daniel Kandel, Vladimir Levinski, Alexander Svizher, Joel Seligson, Andrew Hill, Ohad Bachar, Amnon Manassen, Yung-Ho Alex Chuang, Ilan Sela, Moshe Markowitz, Daria Negri, Efraim Rotem
  • Patent number: 8355122
    Abstract: A non-contacting aligning method for planes in a three-dimensional environment is disclosed. The method includes: projecting a light beam in a predetermined incident angle onto a transparent first object and an opaque second object that are facing each other; and calculating a distance between the first and second objects basing on the tangent trigonometric function of the incident angle of the light beam.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: January 15, 2013
    Inventors: Chien-Chung Jeng, Chiu-Hsien Wu
  • Patent number: 8189195
    Abstract: A method of measuring a property of a substrate includes generating a patterned mask configured to cause a radiation beam passing through the mask to acquire the pattern, simulating radiating the substrate with a patterned radiation beam that has been patterned using the mask to obtain a simulated pattern, determining at least one location of the simulated pattern that is prone to patterning errors, and irradiating the substrate with the patterned radiation beam using a lithographic process. The method also includes measuring an accuracy of at least one property of the at least one location of the pattern on the substrate that has been determined as being prone to patterning errors, and adjusting the lithographic process according to the measuring.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: May 29, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Arie Jeffrey Den Boef, Hugo Augustinus Joseph Cramer, Mircea Dusa, Irwan Dani Setija
  • Patent number: 8142965
    Abstract: A sample having a patterned area and a method for use in controlling a pattern parameter is presented. The sample comprises at least one test structure having a patterned region similar to a pattern in the patterned area, the patterns in the patterned area and in the at least test structure being produced by the same patterning process. The at least one test structure comprises at least one pattern parameter of a predetermined value intentionally increased above a natural value of said certain parameter induced by a patterning process. By this, the natural value of the parameter induced by the patterning process can be determined.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: March 27, 2012
    Assignee: Nova Measuring Instruments Ltd.
    Inventor: Cohen Yoel
  • Patent number: 8054473
    Abstract: A measurement mark on a substrate has a first section with first primary and first secondary lines. The first primary lines have a first width and are arranged at a first pitch and in alternating order with the first secondary lines. A second section comprises second primary and second secondary lines arranged in alternating order. The second primary lines have a second width that is different from the first width. The pitch of the primary lines and the distance between the primary and the secondary lines is the same in each case. The spectral response of both sections of the measurement mark is determined by an analyzer unit of a measurement apparatus, and a sign of a difference between target and actual widths of the lines is determined by comparing a first spectrum derived from the first section with a second spectrum derived from the second section.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: November 8, 2011
    Assignee: Qimonda AG
    Inventor: Thomas Marschner
  • Patent number: 8040497
    Abstract: By encoding process-related non-uniformities, such as different height levels, which may be caused by CMP or other processes during the fabrication of complex device levels, such as metallization structures, respective focus parameter settings may be efficiently evaluated on the basis of well-established CD measurement techniques.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: October 18, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Thomas Werner, Frank Feustel, Kai Frohberg
  • Patent number: 8035824
    Abstract: A method is described for measuring a dimension on a substrate, wherein a target pattern is provided with a nominal characteristic dimension that repeats at a primary pitch of period P, and has a pre-determined variation orthogonal to the primary direction. The target pattern formed on the substrate is then illuminated so that at least one non-zero diffracted order is detected. The response of the non-zero diffracted order to variation in the printed characteristic dimension relative to nominal is used to determine the dimension of interest, such as critical dimension or overlay, on the substrate. An apparatus for performing the method of the present invention includes an illumination source, a detector for detecting a non-zero diffracted order, and means for positioning the source relative to the target so that one or more non-zero diffracted orders from the target are detected at the detector.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventor: Christopher Ausschnitt
  • Patent number: 8007968
    Abstract: In the present invention, patterning for the first time is performed on a film to be worked above the front surface of a substrate, and the actual dimension of the pattern formed by the patterning for the first time is measured. Based on the dimension measurement result of the patterning or the first time, the condition of patterning for the second time is then set. In this event, the condition of the patterning for the second time is set so that a difference between the dimension of the patterning for the first time and its target dimension is equal to a difference between the dimension of the patterning for the second time and its target dimension. Thereafter, the patterning for the second time is performed under the set patterning condition.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiaki Yamada, Tadayuki Yamaguchi, Yuuichi Yamamoto, Yasuhito Saiga, Kazuo Sawai
  • Patent number: 7995199
    Abstract: Disclosed are methods and apparatus for inspecting a sub-resolution assist features (SRAF) on a reticle. A test flux measurement for a boundary area that encompasses a width and a length portion of a test SRAF is determined, and at least one reference flux measurement for one or more boundary areas of one or more reference SRAF's is determined. The test flux measurement is compared with the reference flux measurements. The comparison is used to then determine whether the test SRAF is undersized or oversized. If the test SRAF is determined to be oversized, it may then be determined whether the test SRAF is defective based on the comparison using a first threshold.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: August 9, 2011
    Assignee: KLA-Tencor Corporation
    Inventors: Carl E. Hess, Yalin Xiong
  • Patent number: 7968173
    Abstract: The present invention relates to printable security paper that includes a region capable of receiving printed indicia on the front side and on the reverse side which are observable in reflected light and form an image observable in transmitted light, as security element protecting against two-sided copying, characterized in that such region is a screened region having an average overall opacity less than the opacity of the vellum part of the rest of the paper, said screened region being made up from alternations of vellum miniregions, having an approximately constant thickness equal to that of the vellum part of the rest of the paper, and of miniregions of reduced opacity because of their smaller thickness compared with the vellum miniregions. The invention also relates to the security document obtained with this paper.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: June 28, 2011
    Assignee: Arjowiggins Security
    Inventors: Nathalie Vast, Yvan Thierry, Stephane Mallol
  • Patent number: 7952696
    Abstract: An exposure measurement apparatus is configured by including a size measurer measuring respective sizes of at least a pair of transferred patterns having mutually different optimal focus positions out of a plurality of transferred patterns formed by being transferred onto a transfer object, a difference value calculator obtaining a difference value between the size of one transferred pattern and the size of the other transferred pattern, a focus variation amount calculator calculating a focus variation amount of the transfer object using the difference value, and an exposure variation amount calculator calculating an exposure error amount of a wafer.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: May 31, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tomohiko Yamamoto
  • Publication number: 20110122395
    Abstract: In general, in one aspect, a method includes determining a critical dimension (CD) distribution on a photomask by measuring deep Ultra-Violet (DUV) transmission across the photomask.
    Type: Application
    Filed: January 2, 2009
    Publication date: May 26, 2011
    Inventors: Guy Ben-Zvi, Vladimir Dmitriev, Eitan Zait, Erez Graitzer
  • Patent number: 7938587
    Abstract: In the present invention, when dense and sparse resist patterns are formed above a substrate, respective resist pattern dimensions are measured, and a correction value for a first processing unit is calculated based on the dimension measurement result of the dense resist pattern and a correction value for a second processing unit is calculated based on the dimension measurement result of the sparse resist pattern. Based on these calculation results, processing conditions in the first processing unit and the second processing unit are changed, and thereafter processing in these processing units are implemented under these changed conditions.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: May 10, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Takahisa Otsuka
  • Patent number: 7916284
    Abstract: In a scatterometric method differential targets with different sensitivities to parameters of interest are printed in a calibration matrix and difference spectra obtained. principal component analysis is applied to the difference spectra to obtain a calibration function that is less sensitive to variations in the underlying structure than a calibration function obtained from spectra obtained from a single target.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: March 29, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Mircea Dusa, Arie Jeffrey Den Boef, Hugo Augustinus Joseph Cramer
  • Patent number: 7883762
    Abstract: The invention concerns a security document comprising, as security element against recto/verso copying, indicia present on both sides and capable of being viewed under reflected lighting and forming an image capable of being viewed under transmitted light. The invention is characterized in that said indicia comprise lines and form said image with 3D effect.
    Type: Grant
    Filed: July 18, 2003
    Date of Patent: February 8, 2011
    Assignee: Arjowiggins Security
    Inventor: Pierre Doublet
  • Patent number: 7829852
    Abstract: In an embodiment of the present invention, a device includes a first etched feature located in a critical dimension scanning electron microscope (CD-SEM) characterization location, the first etched feature having an upper section, a middle section, and a lower section wherein the middle section is severely shrunk relative to a corresponding middle section of a second etched feature having similar dimensions and composition that is not located in a CD-SEM characterization location. In another embodiment of the present invention, the middle section of the first etched feature has a shrinkage carryover exceeding a threshold. In still another embodiment of the present invention, the middle section of the first etched feature exhibits a line edge roughness.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: November 9, 2010
    Assignee: Intel Corporation
    Inventors: Gary X. Cao, George Chen, Brandon L. Ward, Nancy J. Wheeler, Alan Wong
  • Patent number: 7803506
    Abstract: A method of measuring a critical dimension may include forming an object pattern on a substrate and forming a plurality of reference patterns on the substrate, wherein each of the plurality of reference patterns has a different critical dimension. An optical property of each of the plurality of reference patterns may be measured to provide a respective measured optical property for each of the reference patterns, and an optical property of the object pattern may be measured to provide a measured optical property of the object pattern. The measured optical property of the object pattern may be compared with the measured optical properties of the reference patterns, and a critical dimension of the object pattern may be determined as being the same as the critical dimension of the reference pattern having the measured optical property that is closest to the measured optical property of the object pattern. Related devices are also discussed.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: September 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Yoon Bang, Hae-Young Jeong, Yong-Hoon Kim, Yo-Han Choi, Hyung-Joo Lee
  • Patent number: 7777184
    Abstract: A method for photoresist characterization includes forming a photoresist on a supportive structure; and characterizing the photoresist using a metrology tool selected from the group consisting of a transmission electron microscope (TEM), a scanning electron microscope (SEM), an atomic force microscope (AFM), a small angle X-ray scattering (SAXS) and a laser diffraction particle analyzer.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: August 17, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Wei Yeh, Jen-Chieh Shih
  • Patent number: 7751046
    Abstract: Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, critical dimension and overlay misregistration. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: July 6, 2010
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Ady Levy, Kyle A. Brown, Rodney Smedt, Gary Bultman, Mehrdad Nikoonahad, Dan Wack, John Fielden, Ibrahim Abdulhalim
  • Patent number: 7700247
    Abstract: A method is described for measuring a dimension on a substrate, wherein a target pattern is provided with a nominal characteristic dimension that repeats at a primary pitch of period P, and has a pre-determined variation orthogonal to the primary direction. The target pattern formed on the substrate is then illuminated so that at least one non-zero diffracted order is detected. The response of the non-zero diffracted order to variation in the printed characteristic dimension relative to nominal is used to determine the dimension of interest, such as critical dimension or overlay, on the substrate. An apparatus for performing the method of the present invention includes an illumination source, a detector for detecting a non-zero diffracted order, and means for positioning the source relative to the target so that one or more non-zero diffracted orders from the target are detected at the detector.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventor: Christopher Ausschnitt
  • Patent number: 7656512
    Abstract: A method for determining one or more process parameter settings of a photolithographic system is disclosed.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: February 2, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Walter D. Mieher, Thaddeus G. Dziura, Ady Levy, Chris A. Mack
  • Patent number: 7642019
    Abstract: Systems and methods are provided for detecting focus variation in a lithographic process using photomasks having test patterns adapted to print test features with critical dimensions that can be measured and analyzed to determine magnitude and direction of defocus from a best focus position of an exposure tool during the lithographic process.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: January 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ho-Chul Kim
  • Patent number: 7639371
    Abstract: This disclosure provides methods for measuring asymmetry of features, such as lines of a diffraction grating. On implementation provides a method of measuring asymmetries in microelectronic devices by directing light at an array of microelectronic features of a microelectronic device. The light illuminates a portion of the array that encompasses the entire length and width of a plurality of the microelectronic features. Light scattered back from the array is detected. One or more characteristics of the back-scattered light may be examined by examining data from the complementary angles of reflection. This can be particularly useful for arrays of small periodic structures for which standard modeling techniques would be impractically complex or take inordinate time.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: December 29, 2009
    Assignee: Nanometrics Incorporated
    Inventor: Christopher Raymond
  • Patent number: 7636171
    Abstract: A method is provided for monitoring degradation of a data storage medium. Multiple reactive elements are provided in the data storage medium. Properties of each one of these elements is determined, prior or subsequent to data being stored in the medium, to generate a baseline map of the medium. Updated properties of at least one of the elements are determined in order to generate an updated map of the medium. A determination can then be made as to whether degradation of the medium has occurred by comparing the baseline map to the updated map.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: December 22, 2009
    Assignee: Storage Technology Corporation
    Inventors: Christophe N. Sigel, Michael L. Leonhardt
  • Patent number: 7632616
    Abstract: The invention is directed to a method for controlling a critical dimension of a patterned photoresist layer. The method comprises steps of measuring a critical dimension of a raised pattern in a patterned photoresist layer after a photolithography process is performed on the photoresist layer. A determining process is performed to determine whether the critical dimension is within a critical dimension control limit range, wherein the critical dimension control limit range has a upper control limit and a lower control limit. An adjusting process is performed when the critical dimension is not within the critical dimension control limit range. When the critical dimension is smaller than the lower control limit, a photoresist reflow process is performed. When the critical dimension is larger than the upper control limit a photoresist trimming process is performed.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: December 15, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Chao-Lung Lo, Ta-Hung Yang
  • Patent number: 7595482
    Abstract: A standard component for length measurement includes a first diffraction grating and a second diffraction grating. Each of components of the second diffraction grating is disposed between components of the first diffraction grating.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: September 29, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Yoshinori Nakayama
  • Patent number: 7595869
    Abstract: Provided is a method of designing an optical metrology system for measuring structures on a workpiece where the optical metrology system is configured to meet a plurality of design goals. Primary components of the optical metrology system affecting the design goals are determined and used in the initial design. The design of the optical metrology system is optimized by using collected design goal data in comparison to the set plurality of design goals. In one embodiment, the optical metrology system is used for stand alone metrology systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: September 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga, Ching-Ling Meng, Mihail Mihaylov
  • Publication number: 20090233195
    Abstract: A pattern area that includes a plurality of line patterns with a predetermined spacing therebetween formed on a wafer is imaged, and based on the imaging results, a contrast value of an image of the pattern area is computed, and the computed contrast value is converted into the linewidth of the line pattern based on known conversion information. Therefore, even if the pattern area is imaged using a microscope having a low resolving power, e.g. an image-forming type alignment sensor or the like, without using the SEM, the linewidth of the line pattern can be measured with high precision. Accordingly, linewidth measurement with low cost and high throughput becomes possible.
    Type: Application
    Filed: March 26, 2009
    Publication date: September 17, 2009
    Applicant: Nikon Corporation
    Inventor: Kazuyuki MIYASHITA
  • Patent number: 7589845
    Abstract: Provided is system and method for controlling a fabrication cluster using at least one parameter of a structure measured with an optical metrology system designed and configured to meet one or more signal criteria. The design of the optical metrology system is optimized by using collected signal data in comparison to set one or more signal criteria. In one embodiment, the optical metrology system is used for standalone systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing. At least one parameter determined from a signal measured using the optical metrology system is transmitted to a fabrication cluster. The at least one parameter is used to modify at least one process variable or equipment setting of the fabrication cluster.
    Type: Grant
    Filed: March 27, 2008
    Date of Patent: September 15, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga, Ching-Ling Meng, Mihail Mihalov
  • Patent number: 7567353
    Abstract: To control a photolithography cluster using optical metrology, a structure is fabricated on a wafer using the photolithography cluster. A measured diffraction signal off the structure is obtained. The measured diffraction signal is compared to a simulated diffraction signal. The simulated diffraction signal is associated with one or more values of one or more photoresist parameters. The one or more photoresist parameters characterize behavior of photoresist when the photoresist undergoes processing steps in the photolithography cluster. The simulated diffraction signal was generated using one or more values of one or more profile parameters. The one or more values of the one or more profile parameters used to generate the simulated diffraction signal were derived from the one or more values of the one or more photoresist parameters associated with the simulated diffraction signal.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: July 28, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joerg Bischoff, David Hetzer, Manuel Madriaga
  • Patent number: 7564557
    Abstract: Disclosed is a combined scatterometry mark comprising a scatterometry critical dimension (CD) or profile target capable of being measured to determine CD or profile information and a scatterometry overlay target disposed over the scatterometry CD or profile target, the scatterometry overlay target cooperating with the scatterometry CD or profile target to form a scatterometry mark capable of being measured to determine overlay.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: July 21, 2009
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Walter D. Mieher, Ady Levy, Boris Golovanesky, Michael Friedmann, Ian Smith, Michael E. Adel, Anatoly Fabrikant
  • Patent number: 7527205
    Abstract: An automated package dimensioning subsystem comprising a Laser Detecting and Ranging (LADAR-based) scanning apparatus for capturing two-dimensional range data maps of the space above a conveyor structure, along which packages are transported, and an image contour tracing apparatus for extracting package dimension data from the two-dimensional range data maps.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: May 5, 2009
    Assignee: Metrologic Instruments, Inc.
    Inventors: Xiaoxun Zhu, Ka Man Au, Gennady Germaine, George Kolis, Timothy A. Good, Michael D. Schnee, Robert E. Blake, Carl Harry Knowles, Sankar Ghosh, Charles A. Naylor, David M. Wilz, Sr., Constantine J. Tsikos, Francis E. Lodge, Stephen J. Colavito, George B. Rockstein