Line Width Patents (Class 356/636)
  • Patent number: 6858361
    Abstract: A method and apparatus for processing a semiconductor wafer is provided for reducing dimensional variation by feeding forward information relating to photoresist mask CD and profile to adjust the next process the inspected wafer will undergo (e.g., a photoresist trim process). After the processing step, dimensions of a structure formed by the process, such as the CD of a gate formed by the process, are measured, and this information is fed back to the process tool to adjust the process for the next wafer to further reduce dimensional variation. By taking into account photoresist CD and profile variation when choosing a resist trim recipe, post-etch CD is decoupled from pre-etch CD and profile. With automatic compensation for pre-etch CD, a very tight distribution of post-etch CD is achieved. In certain embodiments, the CD and profile measurements, trim, etch processing and post-etch cleaning are performed at a single module in a controlled environment.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: February 22, 2005
    Inventors: David S. L. Mui, Hiroki Sasano, Wei Liu
  • Patent number: 6856408
    Abstract: A method of and apparatus for measuring line profile asymmetries in microelectronic devices comprising directing light at an array of microelectronic features of a microelectronic device, detecting light scattered back from the array comprising either or both of one or more angles of reflection and one or more wavelengths, and comparing one or more characteristics of the back-scattered light by examining data from complementary angles of reflection or performing a model comparison.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: February 15, 2005
    Assignee: Accent Optical Technologies, Inc.
    Inventor: Christopher J. Raymond
  • Patent number: 6850333
    Abstract: A metrology instrument for measuring grating-like microstructures on a sample for parameters of interest is characterized by an illumination spot that is elongated. The elongated illumination spot is produced by providing the designing the illumination optics to have a limiting aperture that is also elongated. The limiting aperture and corresponding illumination spot will have respective long directions that are perpendicular to each other. The sample is supported in a measurement relation to the instrument wherein the illumination spot is oriented generally transverse to linear elements of a microstructure. The microstructure can be also be a two-dimensional bigrating, with the illumination spot on a row or column of the bigrating.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: February 1, 2005
    Assignee: Therma-Wave, Inc.
    Inventors: Kenneth C. Johnson, Fred E. Stanke
  • Patent number: 6847464
    Abstract: A method for estimating a property of a selected feature on a photolithographic mask includes providing a model of an image-acquisition system. The model includes information indicative of the characteristics of the image-acquisition system. The image-acquisition system is used to obtain a measured signal representative of the selected feature. On the basis of the measured signal, and the information provided by the model, a value of the property is estimated for the selected feature.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: January 25, 2005
    Assignee: Zygo Corporation
    Inventor: Nicholas G. Doe
  • Patent number: 6842261
    Abstract: A profile parameter value is determined in integrated circuit metrology by: a) determining a diffraction signal difference based on a measured diffraction signal and a previously generated diffraction signal; b) determining a first profile parameter value based on the previously generated diffraction signal; c) determining a first profile parameter value change based on the diffraction signal difference; d) determining a second profile parameter value based on the first profile parameter value change; e) determining a second profile parameter value change between the first and second profile parameter values; f) determining if the second profile parameter value change meets one or more preset criteria; and g) when the second profile parameter value change fails to meet the one or more preset criteria, iterating c) to g) using as the diffraction signal difference in the iteration of step c), a diffraction signal difference determined based on the measured diffraction signal and a diffraction signal for the sec
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: January 11, 2005
    Assignee: Timbre Technologies, Inc.
    Inventors: Junwei Bao, Wen Jin, Emmanuel Drege, Srinivas Doddi, Vi Vuong
  • Publication number: 20040257588
    Abstract: A gallery of seed profiles is constructed and the initial parameter values associated with the profiles are selected using manufacturing process knowledge of semiconductor devices. Manufacturing process knowledge may also be used to select the best seed profile and the best set of initial parameter values as the starting point of an optimization process whereby data associated with parameter values of the profile predicted by a model is compared to measured data in order to arrive at values of the parameters. Film layers over or under the periodic structure may also be taken into account. Different radiation parameters such as the reflectivities Rs, Rp and ellipsometric parameters may be used in measuring the diffracting structures and the associated films. Some of the radiation parameters may be more sensitive to a change in the parameter value of the profile or of the films then other radiation parameters.
    Type: Application
    Filed: July 7, 2004
    Publication date: December 23, 2004
    Inventors: Andrei V. Shchegrov, Anatoly Fabrikant, Mehrdad Nikoonahad
  • Patent number: 6829057
    Abstract: A method and apparatus are disclosed for evaluating relatively small periodic structures formed on semiconductor samples. In this approach, a light source generates a probe beam which is directed to the sample. In one preferred embodiment, an incoherent light source is used. A lens is used to focus the probe beam on the sample in a manner so that rays within the probe beam create a spread of angles of incidence. The size of the probe beam spot on the sample is larger than the spacing between the features of the periodic structure so some of the light is scattered from the structure. A detector is provided for monitoring the reflected and scattered light. The detector includes multiple detector elements arranged so that multiple output signals are generated simultaneously and correspond to multiple angles of incidence. The output signals are supplied to a processor which analyzes the signals according to a scattering model which permits evaluation of the geometry of the periodic structure.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: December 7, 2004
    Assignee: Therma-Wave, Inc.
    Inventors: Jon Opsal, Allan Rosencwaig
  • Publication number: 20040239954
    Abstract: A resolution enhanced optical metrology system to examine a structure formed on a semiconductor wafer includes a source configured to direct an incident beam at the structure through a coupling element. The coupling element is disposed between the source and the structure with a gap having a gap height defined between the coupling element and the structure.
    Type: Application
    Filed: May 28, 2003
    Publication date: December 2, 2004
    Inventor: Joerg Bischoff
  • Patent number: 6825939
    Abstract: The present invention relates to a method and a measurement apparatus for detection of a specimen (1), a specimen (1) being illuminated with a light source (2) and imaged with the aid of an imaging optical system (3) onto a detector (4) preferably embodied as a CCD camera, and the specimen (1) being detected repeatedly with the detector (4). With the method and the measurement apparatus according to the present invention, fluctuations in the statistical analysis of detected signals or data can be minimized, the detected signals or data being subject to detection-related error sources. The method and the measurement apparatus according to the present invention are characterized in that the detection time of the detector (4) for the individual detections and/or the intensity of the light serving for specimen illumination are varied.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: November 30, 2004
    Assignee: Leica Microsystems Semiconductor GmbH
    Inventor: Klaus Rinn
  • Patent number: 6806971
    Abstract: An optical system is presented for use in a measurement system for measuring in patterned structures, which is particularly useful controlling processing of the structure progressing on a production line. The system comprises an illuminator unit producing illuminating light to be directed to the structure to produce returned light, a detector unit comprising an imaging detector and a spectrophotometer detector, and a light directing assembly. The light directing assembly defines first and second optical paths for the light propagation. The optical elements accommodated in the first optical path affect the light to provide a relatively small measuring area of the structure's plane. The second optical path is located outside the first optical path, the light propagation through the second optical path providing a relatively large measuring area, as compared to that of the first optical path.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: October 19, 2004
    Assignee: Nova Measuring Instruments Ltd.
    Inventor: Moshe Finarov
  • Patent number: 6806008
    Abstract: A test reticle having a pad and antenna structures with varying critical dimensions is provided to measure sidewall angles developing in the resist sidewalls of clear lines. These sidewall angles originate from resist flow due to the occurrence of excessively high temperatures in a resist process on a lithographic track after the exposure of a semiconductor wafer. A scanning electron microscope is used to perform the measurement. A sequence of temperatures is applied in each postbake step to process a wafer, and the sidewall angle is determined afterwards from e.g. a critical dimension measurement with a known resist thickness. An error signal is issued, if a threshold value of a sidewall angle is exceeded. The temperature of the resist process, e.g. the postbake, is then adjusted to a temperature below the temperature causing the warning signal.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: October 19, 2004
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventors: Thorsten Schedel, Torsten Seidel
  • Patent number: 6775015
    Abstract: The profile of a single feature formed on a wafer can be determined by obtaining an optical signature of the single feature using a beam of light focused on the single feature. The obtained optical signature can then be compared to a set of simulated optical signatures, where each simulated optical signature corresponds to a hypothetical profile of the single feature and is modeled based on the hypothetical profile.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: August 10, 2004
    Assignee: Timbre Technologies, Inc.
    Inventors: Joerg Bischoff, Xinhui Niu, Junwei Bao
  • Publication number: 20040125384
    Abstract: An apparatus for detecting the end-point of an electropolishing process of a metal layer formed on a wafer (1004) includes an end-point detector. The end-point detector is disposed adjacent the nozzle (1008) used to electropolish the wafer. In one embodiment, the end-point detector is configured to measure the optical reflectivity of the portion of the wafer being electropolished.
    Type: Application
    Filed: April 4, 2003
    Publication date: July 1, 2004
    Inventor: Hui Wang
  • Publication number: 20040070821
    Abstract: A critical dimension measuring instrument includes a light source, a beam-shaping optical system, a condenser having a condenser pupil, a first microlens array arrangement, a first auxiliary optical element having positive refractive power, a second auxiliary optical element having positive refractive power, and a second microlens array arrangement. The first microlens array arrangement, the first auxiliary optical element, the second auxiliary optical element and the second microlens array arrangement are arranged in successive fashion between the beam-shaping optical system and the condenser.
    Type: Application
    Filed: September 26, 2003
    Publication date: April 15, 2004
    Applicant: Leica Microsystems Semiconductor GmbH
    Inventors: Franz Cemic, Lambert Danner
  • Patent number: 6721052
    Abstract: A periodic structure is illuminated by polychromatic electromagnetic radiation. Radiation from the structure is collected and divided into two rays having different polarization states. The two rays are detected from which one or more parameters of the periodic structure may be derived. In another embodiment, when the periodic structure is illuminated by a polychromatic electromagnetic radiation, the collected radiation from the structure is passed through a polarization element having a polarization plane. The element and the polychromatic beam are controlled so that the polarization plane of the element are at two or more different orientations with respect to the plane of incidence of the polychromatic beam. Radiation that has passed through the element is detected when the plane of polarization is at the two or more positions so that one or more parameters of the periodic structure may be derived from the detected signals.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: April 13, 2004
    Assignee: KLA-Technologies Corporation
    Inventors: Guoheng Zhao, Kenneth P. Gross, Rodney Smedt, Mehrdad Nikoonahad
  • Patent number: 6707562
    Abstract: The present invention is generally directed to a method of using scatterometry measurements to control the photoresist etch process. In one embodiment, the method comprises forming at least one grating structure in a layer of photoresist material, the grating structure being comprised of a plurality of photoresist features of a first size, and performing an etching process on the photoresist features of the grating structure to reduce the photoresist features to a second size that is less than the first size.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: March 16, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Kevin R. Lensing
  • Publication number: 20040046958
    Abstract: An a&pgr;&pgr;aratus and method for measuring feature sizes having form birefringence.
    Type: Application
    Filed: September 6, 2002
    Publication date: March 11, 2004
    Applicant: Infineon Technologies AG
    Inventors: Alexander Michaelis, Oliver Genz, Ulrich Mantz
  • Publication number: 20040038139
    Abstract: A method and apparatus for processing a semiconductor wafer is provided for reducing CD microloading variation. OCD metrology is used to inspect a wafer to determine pre-etch CD microloading, by measuring the CD of dense and isolated photoresist lines. Other parameters can also be measured or otherwise determined, such as sidewall profile, photoresist layer thickness, underlying layer thickness, photoresist pattern density, open area, etc. The inspection results are fed forward to the etcher to determine process parameters, such as resist trim time and/or etch conditions, thereby achieving the desired post-etch CD microloading. In certain embodiments, the CD and profile measurements, trim, etch processing and post-etch cleaning are performed at a single module in a controlled environment. All of the transfer and processing steps performed by the module are performed in a clean environment, thereby increasing yield by avoiding exposing the wafer to the atmosphere and possible contamination between steps.
    Type: Application
    Filed: June 18, 2003
    Publication date: February 26, 2004
    Inventors: David S.L. Mui, Wei Liu, Shashank C. Deshmukh, Hiroki Sasano
  • Patent number: 6694630
    Abstract: A multiple axis laser emitter (1) comprising an optical beam splitter (4) having at least two reflectively coated mirror surfaces (7a, 7b, 7c, 7d) oriented perpendicular to each other and each oriented at an angle of 45° to a collimated main beam (H) of a laser light source (6) and at an angle of 90° to each other, wherein, in the beam path, an optical wedge (8a, 8b, 8c, 8d, 8e) is arranged downstream to at least one of the component beams (Ta, Tb, Tc, Td) deflected by the mirror surfaces (7) at an angle of 90° to the main beam (H).
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: February 24, 2004
    Assignee: Hilti Aktiengesellschaft
    Inventors: Lieu-Kim Dang, Erwin Bünter, Karsten Brandenburg
  • Publication number: 20040032597
    Abstract: A method for detection and identification of defects in a weld seam created using a laser beam.
    Type: Application
    Filed: December 30, 2002
    Publication date: February 19, 2004
    Inventor: Bruno Esmiller
  • Patent number: 6680781
    Abstract: A critical dimension measurement method and apparatus capable of measurement even for a object below a resolution of an optical microscope. An image of an object is picked up by using an optical microscope and an image sensor. From an obtained video signal, signal positions of two points coinciding with a predetermined luminance level are extracted. A difference in position between the two points is multiplied by a ratio of maximum luminance between the two points to maximum luminance serving as a reference. On the basis of a resultant product, a size of the object is measured.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: January 20, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shogo Kosuge, Takahiro Shimizu
  • Publication number: 20040008353
    Abstract: A method for modeling diffraction includes constructing a theoretical model of the subject. A numerical method is then used to predict the output field that is created when an incident field is diffracted by the subject. The numerical method begins by computing the output field at the upper boundary of the substrate and then iterates upward through each of the subject's layers. Structurally simple layers are evaluated directly. More complex layers are discretized into slices. A finite difference scheme is performed for these layers using a recursive expansion of the field-current ratio that starts (or has a base case) at the lowermost slice. The combined evaluation, through all layers, creates a scattering matrix that is evaluated to determine the output field for the subject.
    Type: Application
    Filed: January 16, 2003
    Publication date: January 15, 2004
    Inventor: Hanyou Chu
  • Publication number: 20040002011
    Abstract: A method and apparatus for measuring the alignment of masks in a photolithographic process. A first grating is formed having lines and spaces on a wafer using a first mask having a pattern for the first grating. A second grating is formed having lines and spaces on the wafer using a second mask having a pattern for the second grating and also the pattern for forming the first grating. A determination is then made based on the difference in the width of either the lines or the spaces of the first and second gratings formed on the wafer if the first and second masks are misaligned.
    Type: Application
    Filed: June 28, 2002
    Publication date: January 1, 2004
    Inventors: Mike Laughery, Makoto Miyagi
  • Publication number: 20030223087
    Abstract: In size measurement of a semiconductor device, profiles of a pattern formed in a resist process are determined through an exposure/development simulation in respect of individual different combinations of exposure values and focus values to form a profile matrix and scattered light intensity distributions corresponding to the individual profiles are determined through calculation to form a scattered light library, thereby forming a profile library consisting of the profile matrix and scattered light library. A scattered light intensity distribution of an actually measured pattern is compared with the scattered light intensity distributions of the scattered light library and a profile of profile matrix corresponding to a scattered light intensity distribution of scattered light library having the highest coincidence is determined as a three-dimensional shape of the actually measured pattern.
    Type: Application
    Filed: February 25, 2003
    Publication date: December 4, 2003
    Inventors: Hideaki Sasazawa, Tohishiko Nakata, Masahiro Watanabe, Shunichi Matsumoto
  • Publication number: 20030184769
    Abstract: A method (40) for nondestructively characterizing a doped region (24) of a semiconductor wafer (22) in order to determine the acceptability of a pattern transfer process. Of particular interest is the determination of the lateral profile of the implanted structure. An incident beam (28) of radiation is directed upon the wafer surface (26) and the properties of the resulting refracted beam (30) are measured as a function of wavelength. The spectrally-resolved diffraction characteristics of the refracted beam are directly related to the shape and scale characteristics of the doped region. A library (44) of calculated diffraction spectra is established by modeling a full range of expected variations in the doped region structures. The spectra resulting from the inspection of an actual doped region (46) is compared against the library to identify a best fit (48) in order to characterize the actual implant (50).
    Type: Application
    Filed: March 27, 2002
    Publication date: October 2, 2003
    Inventors: Erik Cho Houge, John Martin McIntosh, Cynthia C. Lee
  • Patent number: 6609086
    Abstract: The present invention includes a method and system for determining the profile of a structure in an integrated circuit from a measured signal, the signal measured off the structure with a metrology device, selecting a best match of the measured signal in a profile data space, the profile data space having data points with a specified extent of non-linearity, and performing a refinement procedure to determine refined profile parameters. One embodiment includes a refinement procedure comprising finding a polyhedron in a function domain of cost functions of the profile library signals and profile parameters and minimizing the total cost function using the weighted average method. Other embodiments include profile parameter refinement procedures using sensitivity analysis, a clustering approach, regression-based methods, localized fine-resolution refinement library method, iterative library refinement method, and other cost optimization or refinement algorithms, procedures, and methods.
    Type: Grant
    Filed: February 12, 2002
    Date of Patent: August 19, 2003
    Assignee: Timbre Technologies, Inc.
    Inventors: Junwei Bao, Srinivas Doddi, Nickhil Jakatdar, Vi Vuong
  • Patent number: 6590656
    Abstract: Before the diffraction from a diffracting structure on a semiconductor wafer is measured, where necessary, the film thickness and index of refraction of the films underneath the structure are first measured using spectroscopic reflectometry or spectroscopic ellipsometry. A rigorous model is then used to calculate intensity or ellipsometric signatures of the diffracting structure. The diffracting structure is then measured using a spectroscopic scatterometer using polarized and broadband radiation to obtain an intensity or ellipsometric signature of the diffracting structure. Such signature is then matched with the signatures in the database to determine the grating shape parameters of the structure.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: July 8, 2003
    Assignee: KLA-Tencor Corporation
    Inventors: Yiping Xu, Ibrahim Abdulhalim
  • Patent number: 6583877
    Abstract: Achromatic optics may be employed in spectroscopic measurement systems. The achromatic optics comprises a spherical mirror receiving a beam of radiation in a direction away from its axis and a pair of lenses: a positive lens and a negative meniscus lens. The negative meniscus lens corrects for the spherical aberration caused by off-axis reflection from the spherical mirror. The positive lens compensates for the achromatic aberration introduced by the negative lens so that the optics, as a whole, is achromatic over visible and ultraviolet wavelengths. Preferably, the two lenses combined have zero power or close to zero power. By employing a spherical mirror, it is unnecessary to employ ellipsoidal or paraboloidal mirrors with artifacts of diamond turning which limit the size of the spot of the sample that can be measured in ellipsometry, reflectometry or scatterometry.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: June 24, 2003
    Inventor: Adam E. Norton
  • Publication number: 20030090684
    Abstract: A test pattern formed in a scribe line area of a wafer is irradiated with a light beam to measure the width thereof; the test pattern is irradiated with an electron beam so as to measure the width thereof; an amount of change in the width of the test pattern is calculated; a dummy pattern having the same width as that of a semiconductor device of the wafer is irradiated with an electron beam to measure the width thereof; and the width of a pattern is estimated by the use of the calculated amount of width change so as to determine the shape of the pattern. Thus, a shape measuring system and method capable of determining the shape of a micropattern in a semiconductor device without changing the dimensions of the micropattern can be provided.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 15, 2003
    Inventors: Yasuhiro Mitsui, Yasutsugu Usami, Isao Kawata, Yuya Toyoshima, Tadashi Otaka, Nobuyuki Iriki
  • Publication number: 20030076511
    Abstract: An optical metrology includes a library, a metrology tool and a library evolution tool. The library is generated to include a series of predicted measurements. Each predicted measurement is intended to match the measurements that a metrology device would record when analyzing a corresponding physical structure. The metrology tool compares its empirical measurements to the predicted measurements in the library. If a match is found, the metrology tool extracts a description of the corresponding physical structure from the library. The library evolution tool operates to improve the efficiency of the library. To make these improvements, the library evolution tool statistically analyzes the usage pattern of the library. Based on this analysis, the library evolution tool increases the resolution of commonly used portions of the library. The library evolution tool may also optionally reduce the resolution of less used portions of the library.
    Type: Application
    Filed: May 14, 2002
    Publication date: April 24, 2003
    Inventors: David M. Aikens, Youxian Wen, Walter Lee Smith
  • Patent number: 6538740
    Abstract: An adjusting method capable of accurately forming a mark for measuring optical characteristics of an optical system, such as an alignment sensor of an exposure system to be used in manufacturing semiconductor devices or the like and correcting an aberration or the like of the optical system with a high precision. A first mark (DM1) having a recess pattern (31a) with a width a provided at a pitch P in a measuring direction and a second mark (DM2) having a recess pattern (32a) with a width c provided at a pitch P have been formed in the vicinity of each other on a wafer (11) for adjustment, and the duty ratio (=a/P) of the recess pattern (31a) of the first mark and the duty ratio (=c/P) of the recess pattern (32a) of the second mark are different. The distance of the images of the two marks (DM1, DM2) is measured, an error in this measured value with respect to a designed value is determined, and the detecting optical system is adjusted in such a way as to reduce this error.
    Type: Grant
    Filed: August 9, 2000
    Date of Patent: March 25, 2003
    Assignee: Nikon Corporation
    Inventors: Naomasa Shiraishi, Nobutaka Magome
  • Publication number: 20030030822
    Abstract: An optical system is presented for use in a measurement system for measuring in patterned structures, which is particularly useful controlling processing of the structure progressing on a production line. The system comprises an illuminator unit producing illuminating light to be directed to the structure to produce returned light, a detector unit comprising an imaging detector and a spectrophotometer detector, and a light directing assembly. The light directing assembly defines first and second optical paths for the light propagation. The optical elements accommodated in the first optical path affect the light to provide a relatively small measuring area of the structure's plane. The second optical path is located outside the first optical path, the light propagation through the second optical path providing a relatively large measuring area, as compared to that of the first optical path.
    Type: Application
    Filed: May 28, 2002
    Publication date: February 13, 2003
    Inventor: Moshe Finarov
  • Patent number: 6429943
    Abstract: A method and apparatus are disclosed for evaluating relatively small periodic structures formed on semiconductor samples. In this approach, a light source generates a probe beam which is directed to the sample. In one preferred embodiment, an incoherent light source is used. A lens is used to focus the probe beam on the sample in a manner so that rays within the probe beam create a spread of angles of incidence. The size of the probe beam spot on the sample is larger than the spacing between the features of the periodic structure so some of the light is scattered from the structure. A detector is provided for monitoring the reflected and scattered light. The detector includes multiple detector elements arranged so that multiple output signals are generated simultaneously and correspond to multiple angles of incidence. The output signals are supplied to a processor which analyzes the signals according to a scattering model which permits evaluation of the geometry of the periodic structure.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: August 6, 2002
    Assignee: Therma-Wave, Inc.
    Inventors: Jon Opsal, Allan Rosencwaig
  • Patent number: 6404506
    Abstract: In current practice, quantitative traffic data is most commonly acquired from inductive loops. In addition, video-image processing or time-of-flight laser systems can be used. These methods all have problems associated with them. Therefore, we have developed a new type of non-intrusive laser-based detection system for measurement of vehicle travel time. The system employs a fan angle laser, an image lens, a set of cylindrical optics, a linear photodetector array, and associated signal processing hardware and software. The system is positioned above the plane of detection and configured such that it can unambiguously find the object boundaries in all lighting conditions independent of the time-of-flight of the laser. Instead of depending upon the reflectance of the object being detected, or determination of the range or distance from the detector to the object being detected, the invention reflects the laser off of the pavement or other roadway surface.
    Type: Grant
    Filed: March 8, 1999
    Date of Patent: June 11, 2002
    Assignee: The Regents of the University of California
    Inventors: Harry H. Cheng, Benjamin D. Shaw, Joe Palen, Jonathan E. Larson, Xudong Hu, Kirk Van Katwyk
  • Patent number: 6304332
    Abstract: A precision grating period measurement system uses a pair of properly positioned photodetectors to provide sub-Angstrom resolution. That is, the absolute position of a first detector with respect to a zero point in the measurement system is assured by including a second photodetector that measures a retroreflected signal. The system is then “zeroed” on the retroreflected signal such that the subsequent measurements recorded by the first photodetector are a precise measurement of the grating period.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: October 16, 2001
    Assignee: Lucent Technologies Inc.
    Inventors: Edward Joseph Flynn, Jerome Levkoff, John William Stayt, Jr., Frank Stephen Walters
  • Patent number: RE37740
    Abstract: Substrate inspection apparatus and methods, and illumination apparatus. The inspection apparatus and method includes memory for storing the desired features of the surface of the substrate, focussed illuminator for substantially uniformly illuminating a region of the surface of the substrate to be inspected. Additionally there is a sensor for imaging the region of the substrate illuminated by the illuminator, and a comparator responsive to the memory and sensor for comparing the imaged region of the substrate with the stored desired features of the substrate. The illumination apparatus is designed to provide substantially uniform focussed illumination along a narrow linear region. This apparatus includes first, second and third reflectors elliptically cylindrical in shape, each with its long axis substantially parallel to the long axes of each of the others.
    Type: Grant
    Filed: January 17, 1995
    Date of Patent: June 11, 2002
    Assignee: KLA-Tencor Corporation
    Inventors: Curt H. Chadwick, Robert R. Sholes, John D. Greene, Francis D. Tucker, III, Michael E. Fein, P. C. Jann, David J. Harvey, William Bell