Line Width Patents (Class 356/636)
  • Patent number: 7525672
    Abstract: Methods and apparatus for optical characterization based on symmetry-reduced 2-D RCWA calculations are provided. The invention is applicable to gratings having a grating reflection symmetry plane. A sample can be illuminated at normal incidence or at a non-zero angle of incidence such that the plane of incidence is parallel to or identical with the symmetry plane. The diffracted field components are either symmetric or anti-symmetric with respect to the grating symmetry plane. This symmetry is exploited to provide a symmetry-reduced 2-D RCWA having reduced matrix dimension (by about a factor of two) that is mathematically equivalent to a conventional 2-D RCWA. For normal incidence on a grating having two reflection symmetry planes, a symmetry-reduced 2-D RCWA having reduced matrix dimension (by about a factor of four) is provided. This normal incidence RCWA can be used to approximately characterize a sample illuminated at non-normal incidence.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: April 28, 2009
    Assignee: n&k Technology, Inc.
    Inventors: Shuqiang Chen, Guoguang Li
  • Patent number: 7522295
    Abstract: Structures formed on a semiconductor wafer are consecutively measured by obtaining first and second measured diffraction signals of a first structure and a second structure formed abutting the first structure. The first and second measured diffraction signals were consecutively measured using a polarized reflectometer. The first measured diffraction signal is compared to a first simulated diffraction signal generated using a profile model of the first structure. The profile model has profile parameters that characterize geometries of the first structure. One or more features of the first structure are determined based on the comparison. The second measured diffraction signal is converted to a converted diffraction signal. The converted diffraction signal is compared to the first simulated diffraction signal or a second simulated diffraction signal generated using the same profile model as the first simulated diffraction signal.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Vi Vuong, Junwei Bao, Manuel Madriaga
  • Patent number: 7518740
    Abstract: A profile model to characterize a structure to be examined using optical metrology is evaluated by displaying a set of profile parameters that characterizes the profile model. Each profile parameter has a range of values for the profile parameter. For each profile parameter having a range of values, an adjustment tool is displayed for selecting a value for the profile parameter within the range of values. A measured diffraction signal, which was measured using an optical metrology tool, is displayed. A simulated diffraction signal, which was generated based on the values of the profile parameters selected using the adjustment tools for the profile parameters, is displayed. The simulated diffraction signal is overlaid with the measured diffraction signal.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: April 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey A. Chard, Junwei Bao, Youxian Wen, Sanjay Yedur
  • Patent number: 7515279
    Abstract: This disclosure provides methods for measuring asymmetry of features, such as lines of a diffraction grating. On implementation provides a method of measuring asymmetries in microelectronic devices by directing light at an array of microelectronic features of a microelectronic device. The light illuminates a portion of the array that encompasses the entire length and width of a plurality of the microelectronic features. Light scattered back from the array is detected. One or more characteristics of the back-scattered light may be examined by examining data from complementary angles of reflection. This can be particularly useful for arrays of small periodic structures for which standard modeling techniques would be impractically complex or take inordinate time.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: April 7, 2009
    Assignee: Nanometrics Incorporated
    Inventor: Christopher Raymond
  • Patent number: 7515253
    Abstract: To measure the critical dimensions and other parameters of a one- or two-dimensional diffracting structure of a film, the calculation may be simplified by first performing a measurement of the thickness of the film, employing a film model that does not vary the critical dimension or parameters related to other characteristics of the structure. The thickness of the film may be estimated using the film model sufficiently accurately so that such estimate may be employed to simplify the structure model for deriving the critical dimension and other parameters related to the two-dimensional diffracting structure.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: April 7, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Noah Bareket, Daniel C. Wack, Guoheng Zhao
  • Patent number: 7515283
    Abstract: In processing requests for wafer structure profile determination from optical metrology measurements, a plurality of measured diffraction signal of a plurality of structures formed on one or more wafers is obtained. The plurality of measured diffraction signals is distributed to a plurality of instances of a profile search module. The plurality of instances of the profile search model is activated in one or more processing threads of one or more computer systems. The plurality of measured diffraction signals is processed in parallel using the plurality of instances of the profile search module to determine profiles of the plurality of structures corresponding to the plurality of measured diffraction signals.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: April 7, 2009
    Assignee: Tokyo Electron, Ltd.
    Inventors: Tri Thanh Khuong, Junwei Bao, Jeffrey Alexander Chard, Wei Liu, Ying Zhu, Sachin Deshpande, Pranav Sheth, Hong Qiu
  • Publication number: 20090079997
    Abstract: The present invention provides a trolley wire wear measurement device by image processing in which a line sensor is arranged vertically and upward on a roof of an inspection car so that the line sensor looks up to a trolley wire and a lighting means that illuminates the trolley wire is formed by arranging a plurality of point light sources in a straight line in a direction perpendicular to a travelling direction of the inspection car on the inspection car.
    Type: Application
    Filed: March 29, 2007
    Publication date: March 26, 2009
    Inventors: Yusuke Watabe, Makoto Niwakawa
  • Publication number: 20090059240
    Abstract: A method includes collecting optical data from an unpatterned region including a first process layer. At least one optical parameter of the first process layer is determined based on the optical data associated with the unpatterned region. Optical data is collected from a patterned region including a second process layer. At least one characteristic of the patterned region is determined based on the optical data associated with the patterned region and the at least one optical parameter.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 5, 2009
    Inventors: Carsten Hartig, Jason P. Cain
  • Patent number: 7483133
    Abstract: Techniques for optimizing the sensitivity of spectroscopic measurement techniques with respect to certain profile variables by selecting desired measurement angles since the measurement sensitivity to each variable depends, at least in part, on the measurement angles of an incident beam. The selected desired set of measurement angles includes both an azimuth angle and a polar angle. Optimizing the sensitivity of spectroscopic measurement techniques can also reduce or eliminates measurement correlation among variable to be measured.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: January 27, 2009
    Assignee: KLA-Tencor Technologies Corporation.
    Inventors: Noah Bareket, Haiming Wang
  • Patent number: 7483156
    Abstract: An overlay measurement method and related apparatus are provided in which overlay measurement data is calculated on the basis of distances measured in disparate manners in relation to whether the current process is an initial process or a subsequent process. Related overlay marks are also described.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: January 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jeong-Hee Cho
  • Patent number: 7480062
    Abstract: Provided is a method of controlling a photolithography cluster or a subsequent fabrication cluster using optical metrology to determine profile parameters of a photomask structure covered with a pellicle. An optical metrology model of the pellicle is developed and integrated with the optical metrology model of the photomask structure. The optical metrology model of the photomask taking into account the optical effects on the illumination and detection beams transmitted through the pellicle and diffracted by the photomask structure. One or more profile parameters of the photomask structure is determined and used to adjust one or more process parameters or equipment settings of a photolithography cluster using the photomask or a subsequent fabrication cluster.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: January 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shifang Li, Sanjay Yedur, Manuel Madriaga
  • Patent number: 7476856
    Abstract: A method and apparatus for efficiently executing two types of measurements with an optical measuring device and a scanning electron microscope are provided. For example, the method and apparatus may execute the following steps: calculating an average of the dimensional values of a plurality of scanned feature objects; and calculating an offset of a dimensional value on the basis of a difference between the calculated average value and the dimensional value of the feature object obtained when the light is irradiated. The offset between measurement values between the optical measuring device and the scanning electron microscope can be determined precisely.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: January 13, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Watanabe, Tadashi Otaka, Ryo Nakagaki, Chie Shishido, Masakazu Takahashi, Yuya Toyoshima
  • Patent number: 7433037
    Abstract: A periodic structure is illuminated by polychromatic electromagnetic radiation. Radiation from the structure is collected and divided into two rays having different polarization states. The two rays are detected from which one or more parameters of the periodic structure may be derived. In another embodiment, when the periodic structure is illuminated by a polychromatic electromagnetic radiation, the collected radiation from the structure is passed through a polarization element having a polarization plane. The element and the polychromatic beam are controlled so that the polarization plane of the element are at two or more different orientations with respect to the plane of incidence of the polychromatic beam. Radiation that has passed through the element is detected when the plane of polarization is at the two or more positions so that one or more parameters of the periodic structure may be derived from the detected signals.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: October 7, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Guoheng Zhao, Kenneth P. Gross, Rodney Smedt, Mehrdad Nikoonahad
  • Patent number: 7430052
    Abstract: A method for correlating line width roughness of gratings first performs a step (a) generating a characteristic curve of a predetermined grating having a known line width, and a step (b) performing a comparing process to select a matching spectrum from a plurality of simulated diffraction spectrum of known line width, and setting the known line width of the matching spectrum as the virtual line width of the predetermined grating. Subsequently, the method performs a step (c) changing a measuring angle and repeating the steps (a) and (b) to generate a virtual line width curve, and calculating the deviation of the virtual line width curve. The method then performs a step (d) changing the line width roughness of the predetermined grating and repeating the steps (a), (b) and (c), and a step (e) correlating the line width roughness and the deviation of the virtual line width curve to generate a correlating curve.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: September 30, 2008
    Assignee: Industrial Technology Research Institute
    Inventors: Deh Ming Shyu, Yi Sha Ku
  • Patent number: 7423269
    Abstract: One embodiment relates to a method of automated microalignment using off-axis beam tilting. Image data is collected from a region of interest on a substrate at multiple beam tilts. Potential edges of a feature to be identified in the region are determined, and computational analysis of edge-related data is performed to positively identify the feature(s). Another embodiment relates to a method of automated detection of undercut on a feature using off-axis beam tilting. For each beam tilt, a determination is made of difference data between the edge measurement of one side and the edge measurement of the other side. An undercut on the feature is detected from the difference data. Other embodiments are also disclosed.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: September 9, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Amir Azordegan, Hedong Yang, Gongyuan Qu, Gian Francesco Lorusso
  • Publication number: 20080204767
    Abstract: The present disclosure provides an apparatus and method for the measurement of the width and radial spacing of commutator segments with respect to span. The apparatus utilizes a microprocessor and computer to record and calculate data from both a rotary encoder wheel held in contact with the commutator surface as it turns, and a fiber optic sensor that recognizes commutator segment boundaries. The commutator is rotated for one revolution plus one span to improve convenience and accuracy of the measurements. Output is provided in the form of graphs and charts that show the variation in the different spans around the commutator, and information is also provided as to each bar and insulator.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventor: Shawn D. Lyke
  • Patent number: 7417750
    Abstract: Structures formed on a semiconductor wafer are consecutively measured by obtaining first and second measured diffraction signals of a first structure and a second structure formed abutting the first structure. The first and second measured diffraction signals were consecutively measured using an angle-resolved spectroscopic scatterometer. The first measured diffraction signal is compared to a first simulated diffraction signal generated using a profile model of the first structure. The profile model has profile parameters, characterize geometries of the first structure, and an azimuth angle parameter, which define the angle between the plane of incidence beam and direction of periodicity of the first or second structure. One or more features of the first structure are determined based on the comparison.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: August 26, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Vi Vuong, Junwei Bao, Manuel Madriaga
  • Patent number: 7409309
    Abstract: A method of deciding the quality of a measurement value of the line width, the line interval or the like of a pattern on an object to-be-measured, including acquiring the signal intensity distribution of the pattern on the object to-be-measured, detecting the edge positions of the pattern from the acquired signal intensity distribution, detecting the taper widths of the edge parts of the pattern from the acquired signal intensity distribution, and deciding that the measurement value calculated on the basis of the detected edge positions is correct, when the detected taper widths fall within a predetermined range set beforehand. In this way, it is permitted to automatically decide the defective measurement of the line width of the pattern, or the like, attributed to an unclear image due to inferior focusing in an image photographing mode, an unclear image due to an image drift ascribable to charging-up, or the like.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: August 5, 2008
    Assignee: Holon Co., Ltd.
    Inventors: Jun Nitta, Katuyuki Takahashi, Norimichi Anazawa
  • Publication number: 20080182343
    Abstract: The invention can provide a method of processing a wafer using a Real-Time Parameter Tuning (RTPT) procedure to receive an input message that can include a pass-through message, a real-time feedforward message, or a real-time optimization message, or any combination thereof. The RTPT procedures can use real-time wafer temperature data to create, modify, and/or use measurement recipe data, measurement profile data, and/or measurement model data. In addition, RTPT procedures can use real-time wafer temperature data to create, modify, and/or use process recipe data, process profile data, and/or process model data.
    Type: Application
    Filed: January 30, 2007
    Publication date: July 31, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sachin Deshpande, Merritt Funk
  • Patent number: 7405032
    Abstract: The present invention relates generally to photolithographic systems and methods, and more particularly to systems and methodologies that facilitate the reduction of line-edge roughness (LER) during gate formation in an integrated circuit. Systems and methods are disclosed for improving critical dimension (CD) of photoresist lines, comprising a non-lithographic shrink component that facilitates mitigating LER, and a trim etch component that facilitates achieving and/or restoring a target critical dimension.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: July 29, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gilles Amblard, Srikanteswara Dakshina-Murthy, Bhanwar Singh
  • Patent number: 7391524
    Abstract: A system and a method for optical characterization of a symmetric grating illuminated at off-normal incident angle are provided, where the plane of incidence is parallel to the grating lines. In this case corresponding positive and negative diffraction orders have the same intensity and phase. Several approaches for exploiting this symmetry are given. The first approach is a symmetric rigorous coupled wave analysis (SRCWA) adapted to the symmetric case, which accounts for N positive and N negative diffraction orders with M=N+1 space harmonics, without approximation. Various approximation methods are also given. Approximate versions of the RCWA (or SRCWA) can be developed by neglecting polarization coupling for small angles of incidence. A normal incident angle calculation can be used to approximate a situation with a small angle of incidence. Refinements to this approximation include revision of grating depth or refractive indices to improve accuracy.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: June 24, 2008
    Assignee: n&k Technology, Inc.
    Inventors: Shuqiang Chen, Guoguang Li
  • Publication number: 20080144050
    Abstract: A method for correlating line width roughness of gratings first performs a step (a) generating a characteristic curve of a predetermined grating having a known line width, and a step (b) performing a comparing process to select a matching spectrum from a plurality of simulated diffraction spectrum of known line width, and setting the known line width of the matching spectrum as the virtual line width of the predetermined grating. Subsequently, the method performs a step (c) changing a measuring angle and repeating the steps (a) and (b) to generate a virtual line width curve, and calculating the deviation of the virtual line width curve. The method then performs a step (d) changing the line width roughness of the predetermined grating and repeating the steps (a), (b) and (c), and a step (e) correlating the line width roughness and the deviation of the virtual line width curve to generate a correlating curve.
    Type: Application
    Filed: April 4, 2007
    Publication date: June 19, 2008
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Deh Ming Shyu, Yi Sha Ku
  • Patent number: 7375831
    Abstract: In optical line width measurement performed using the scatterometry technique, the present invention measures the line width formed on a substrate more accurately than in the prior art. After a predetermined pattern is formed in a resist film on a substrate, the refractive index and the extinction coefficient of the resist film are measured. Based on the measured values, calculation is performed to obtain calculated light intensity distributions of reflected light reflected from a plurality of virtual patterns. The calculated light intensity distributions are stored, and their library is created. The substrate for which the refractive index and so on are measured is irradiated with light, and the light intensity distribution of its reflected light is measured.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: May 20, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Michio Tanaka, Masami Yamashita
  • Patent number: 7369233
    Abstract: In an optical system measuring sample characteristics, by reducing the amount of ambient absorbing gas or gases and moisture present in at least a portion of the illumination and detection paths experienced by vacuum ultraviolet (VUV) radiation used in the measurement process, the attenuation of such wavelength components can be reduced. Such reduction can be accomplished by a process without requiring the evacuation of all gases and moisture from the measurement system. In one embodiment, the reduction can be accomplished by displacing at least some of the absorbing gas(es) and moisture present in at least a portion of the measuring paths so as to reduce the attenuation of VUV radiation. In this manner, the sample does not need to be placed in a vacuum, thereby enhancing system throughput.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: May 6, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Mehrdad Nikoonahad, Shing Lee, Hidong Kwak, Sergio Edelstein, Guoheng Zhao, Gary Janik
  • Patent number: 7349106
    Abstract: An apparatus (1) and a method for thin-layer metrology of semiconductor substrates (16) are disclosed. The semiconductor substrates (16) are delivered or transported to the apparatus (1) by means of at least one cassette element. A measurement unit (5) for thin-layer micrometrology is provided in the apparatus (1), the semiconductor substrates being conveyed by means of a transport mechanism (7) from the cassette element (3) to the measurement unit (5) for thin-layer micrometrology. A measurement unit (9) for thin-layer macrometrology is provided in the region of the transport mechanism (7) after the cassette element (3). By means of the measurement unit (9) for thin-layer macrometrology, measurement locations (22) on the semiconductor substrate that require more detailed examination in the measurement unit (5) for thin-layer micrometrology can rapidly be identified.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: March 25, 2008
    Assignee: Vistec Semiconductor Systems Jena GmbH
    Inventor: Matthias Slodowski
  • Patent number: 7333200
    Abstract: A method of controlling the lithography process used to fabricate patterns on layers of a semiconductor wafer is disclosed. The method includes providing at least two scatterometry targets, each target having a first pattern formed in an upper layer substantially aligned with a second pattern formed in a lower layer. The targets are optically inspected. A theoretical model of each target is created, with each model including a plurality of unknown parameters defining the target and wherein at least one of the parameters is common to each of the targets. A regression analysis is performed wherein the measured optical response of the targets is compared to calculated optical responses generated by varying the values of the parameters applied to the model. During the regression analysis, a common value for the common parameter is maintained. The results are used to control the lithography process.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: February 19, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Abdurrahman Sezginer, Kenneth Johnson
  • Patent number: 7285781
    Abstract: A CD-SEM (critical dimension-scanning electron microscope) system may utilize a technique for characterizing and reducing shrinkage carryover due to CD-SEM measurements. The system may identify the affects of CD-SEM measurements on the resist and adjust the operating parameters for a particular resist to avoid or significantly reduce shrinkage carryover. In this manner, the system may obtain more reliable CD measurements and avoid damage to the measured feature.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: October 23, 2007
    Assignee: Intel Corporation
    Inventors: Gary X. Cao, George Chen, Brandon L. Ward, Nancy J. Wheeler, Alan Wong
  • Publication number: 20070188771
    Abstract: A method of measuring dimensions for an optical system to measure the critical dimension of a sample object according to this aspect of the present invention includes the steps of preparing a plurality of standard objects, selecting a predetermined focus metric algorithm, performing an analyzing process on each standard object to generate a plurality of focus metric distributions using the predetermined focus metric algorithm, analyzing the focus metric distributions to determine a target order, generating a reference relation, acquiring a measured characteristic value from the sample object, and determining the critical dimension of the sample object based on the measured characteristic value and the reference relation. Each standard object has a grating-shaped standard pattern with a predetermined pitch and line width. The focus metric algorithm is a gradient energy method, a Laplacian method, a standard deviation method, or a contrast method.
    Type: Application
    Filed: August 19, 2006
    Publication date: August 16, 2007
    Applicants: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE, ACCENT OPTICAL TECHNOLOGIES, INC.
    Inventors: An-Shun Liu, Yi-Sha Ku, Nigel Peter Smith
  • Patent number: 7253909
    Abstract: An apparatus and method for determining a physical parameter of features on a substrate by illuminating the substrate with an incident light covering an incident wavelength range ??, e.g., from 190 nm to 1000 nm, where the substrate is at least semi-transparent. A response light received from the substrate and the feature is measured to obtain a response spectrum of the response light. Further, a complex-valued response due to the feature and the substrate is computed and both the response spectrum and the complex-valued response are used in determining the physical parameter. A direct approximate phase measurement is provided when the response light is transmitted light.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: August 7, 2007
    Assignee: n&k Technology, Inc.
    Inventors: Guoguang Li, Phillip Walsh, Shuqiang Chen, Abdul Rahim Forouhi
  • Patent number: 7184152
    Abstract: A method and system for optical measurements of line edge roughness (LER) of patterned structures based on illuminating the structure with incident radiation and detecting a spectral response of the structure, and further applying software and/or hardware utilities for deriving information representative of said line edge roughness parameter/s from said spectral response of the structure.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: February 27, 2007
    Assignee: Nova Measuring Instruments
    Inventor: Boaz Brill
  • Patent number: 7136173
    Abstract: An apparatus for detecting the end-point of an electropolishing process of a metal layer formed on a wafer (1004) includes an end-point detector. The end-point detector is disposed adjacent the nozzle (1008) used to electropolish the wafer. In one embodiment, the end-point detector is configured to measure the optical reflectivity of the portion of the wafer being electropolished.
    Type: Grant
    Filed: May 3, 2001
    Date of Patent: November 14, 2006
    Assignee: ACM Research, Inc.
    Inventor: Hui Wang
  • Patent number: 7130063
    Abstract: A test pattern formed in a scribe line area of a wafer is irradiated with a light beam to measure the width thereof; the test pattern is irradiated with an electron beam so as to measure the width thereof; an amount of change in the width of the test pattern is calculated; a dummy pattern having the same width as that of a semiconductor device of the wafer is irradiated with an electron beam to measure the width thereof; and the width of a pattern is estimated by the use of the calculated amount of width change so as to determine the shape of the pattern. Thus, a shape measuring system and method capable of determining the shape of a micropattern in a semiconductor device without changing the dimensions of the micropattern can be provided.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: October 31, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasuhiro Mitsui, Yasutsugu Usami, Isao Kawata, Yuya Toyoshima, Tadashi Otaka, Nobuyuki Iriki
  • Patent number: 7084988
    Abstract: A system and method for monitoring the creation of semiconductor features with multi-slope profiles by employing scatterometry is provided. The system includes a wafer partitioned into one or more portions and one or more light sources, each light source directing light to one or more devices etched on a wafer, the devices having multi-sloped profiles. Reflected light is collected and converted into data by a measuring system. The data is indicative of the etching at the one or more portions of the wafer. The measuring system provides the data to a process analyzer that determines whether adjustments to etching components are necessary by comparing the data to stored etch parameter values. The system also includes etching components. At least one etch component corresponds to a portion of the wafer and performs the etching thereof. The process analyzer selectively controls the etch components to promote consistent etching of multi-slope profiles/features to compensate for wafer to wafer variations.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: August 1, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Bhanwar Singh, Ramkumar Subramanian
  • Patent number: 7084990
    Abstract: In size measurement of a semiconductor device, profiles of a pattern formed in a resist process are determined through an exposure/development simulation in respect of individual different combinations of exposure values and focus values to form a profile matrix and scattered light intensity distributions corresponding to the individual profiles are determined through calculation to form a scattered light library, thereby forming a profile library consisting of the profile matrix and scattered light library. A scattered light intensity distribution of an actually measured pattern is compared with the scattered light intensity distributions of the scattered light library and a profile of profile matrix corresponding to a scattered light intensity distribution of scattered light library having the highest coincidence is determined as a three-dimensional shape of the actually measured pattern.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: August 1, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Hideaki Sasazawa, Tohishiko Nakata, Masahiro Watanabe, Shunichi Matsumoto
  • Patent number: 7076320
    Abstract: Systems and methods that improve process control in semiconductor manufacturing are disclosed. According to an aspect of the invention, conditions in a cluster tool environment and/or a wafer therein can be monitored in-situ via, for example, a scatterometry system, to determine whether parameters associated with wafer production are within control limits. A cluster tool environment can include, for example, a lithography track, a stepper, a plasma etcher, a cleaning tool, a chemical bath, etc. If an out-of-control condition is detected, either associated with a tool in the cluster tool environment or with the wafer itself, compensatory measures can be taken to correct the out-of-control condition. The invention can further employ feedback/feed-forward loop(s) to facilitate compensatory action in order to improve process control.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: July 11, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Bhanwar Singh, Ramkumar Subramanian
  • Patent number: 7064846
    Abstract: The present invention relates generally to photolithographic systems and methods, and more particularly to systems and methodologies that facilitate the reduction of line-edge roughness (LER) and/or standing wave expression during pattern line formation in an integrated circuit. Systems and methods are disclosed for retaining a target critical dimension (CD) of photoresist lines, comprising a non-lithographic shrink component that facilitates mitigating LER and/or standing wave expression, wherein the shrink component is employed to heat a particular resist to the glass transition temperature of the resist to effectuate mitigation of LER and/or standing wave expression. Additionally, by heating the resist to its glass transition temperature, the systems and methods of the present invention effectively impede deviation from a desired target critical dimension.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gilles Amblard, Bhanwar Singh, Khoi A. Phan, Ramkumar Subramanian
  • Patent number: 7030999
    Abstract: The profile of a single feature formed on a wafer can be determined by obtaining an optical signature of the single feature using a beam of light focused on the single feature. The obtained optical signature can then be compared to a set of simulated optical signatures, where each simulated optical signature corresponds to a hypothetical profile of the single feature and is modeled based on the hypothetical profile.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: April 18, 2006
    Assignee: Timbre Technologies, Inc.
    Inventors: Joerg Bischoff, Xinhui Niu, Junwei Bao
  • Patent number: 7023549
    Abstract: A gallery of seed profiles is constructed and the initial parameter values associated with the profiles are selected using manufacturing process knowledge of semiconductor devices. Manufacturing process knowledge may also be used to select the best seed profile and the best set of initial parameter values as the starting point of an optimization process whereby data associated with parameter values of the profile predicted by a model is compared to measured data in order to arrive at values of the parameters. Film layers over or under the periodic structure may also be taken into account. Different radiation parameters such as the reflectivities Rs, Rp and ellipsometric parameters may be used in measuring the diffracting structures and the associated films. Some of the radiation parameters may be more sensitive to a change in the parameter value of the profile or of the films then other radiation parameters.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: April 4, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Andrei V. Shchegrov, Anatoly Fabrikant, Mehrdad Nikoonahad
  • Patent number: 7016054
    Abstract: The invention provides a method of measuring a standard critical dimension feature and insuring that this feature is representative of cross-chip average critical dimension size in accordance with an embodiment of the invention. The method includes the steps of incorporating a cluster of CD features, determining a cross-chip average feature size, selecting the CD feature which is closest in size to the cross-chip average CD feature size as the standard feature for in-line measurement, and implementing the CD measurement of the appropriate feature on production wafers.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: March 21, 2006
    Assignee: LSI Logic Corporation
    Inventors: Duane B. Barber, Robert C. Muller, Mark C. Simmons
  • Patent number: 6986280
    Abstract: A surface analyzing system including in one system both an integrating optical instrument, such as a scatterometer, and individual-feature-measuring instrument, such as a scanning probe microscope or a beam imaging system, for example, a scanning electron microscope. In a preferred embodiment, the two instruments are capable of characterizing a wafer held on a common stage. The stage may be movable a predetermined displacement to allow the same area of the wafer to be characterized by a scatterometer at one position of the stage and to be characterized by the scanning probe microscope or beam imaging system. The scatterometer can rapidly measure wafers to indicate whether a problem exists, and the scanning probe microscope can perform detailed measurements on wafers flagged by the scatterometer.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: January 17, 2006
    Assignee: Fei Company
    Inventor: Sylvain G. Muckenhirm
  • Patent number: 6972852
    Abstract: A method and apparatus are disclosed for evaluating relatively small periodic structures formed on semiconductor samples. In this approach, a light source generates a probe beam which is directed to the sample. In one preferred embodiment, an incoherent light source is used. A lens is used to focus the probe beam on the sample in a manner so that rays within the probe beam create a spread of angles of incidence. The size of the probe beam spot on the sample is larger than the spacing between the features of the periodic structure so some of the light is scattered from the structure. A detector is provided for monitoring the reflected and scattered light. The detector includes multiple detector elements arranged so that multiple output signals are generated simultaneously and correspond to multiple angles of incidence. The output signals are supplied to a processor which analyzes the signals according to a scattering model which permits evaluation of the geometry of the periodic structure.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: December 6, 2005
    Assignee: Therma-Wave, Inc.
    Inventors: Jon Opsal, Allan Rosencwaig
  • Patent number: 6946394
    Abstract: Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, a characteristic of a layer formed on a specimen by a deposition process. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: September 20, 2005
    Assignee: KLA-Tencor Technologies
    Inventors: John Fielden, Ady Levy, Kyle A. Brown, Gary Bultman, Mehrdad Nikoonahad, Dan Wack
  • Patent number: 6937327
    Abstract: A laser beam emitted from a laser source is split by a beam-splitting means such as a beam sampler, and the power Q of the split beam is measured by a first detector. In addition, the power q1 of light that has passed through a pinhole while a DOE is not set is measured by a second detector, and the power ratio ?=q1/Q is calculated. Then, the DOE is set and the power ratio ?k=qk/Q, where qk is the power of each light beam, is calculated. The power ratio ?k is evaluated on the basis of the power ratio ?, so the optical properties of a diffractive optical element, in particular, in terms of diffraction efficiency in laser-beam diffraction and intensity uniformity of split beams can be measured with high accuracy.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: August 30, 2005
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Keiji Fuse
  • Patent number: 6924900
    Abstract: The present invention concerns a method and a microscope for detection of a specimen, having a light source that illuminates the specimen and an imaging system that images the specimen onto a detector. For purposes of an increase in the effective resolution capability of the imaging system that goes beyond the limit of the resolution capability defined by the properties of the imaging system, the method and the microscope according to the present invention for detection of a specimen are characterized in that the specimen is detected repeatedly with a different resolution of the imaging system in each case; and that in order to determine an optimized resolution capability, the detected image data are conveyed to a statistical and/or numerical analysis operation.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: August 2, 2005
    Assignee: Leica Microsystems Semiconductor GmbH
    Inventor: Klaus Rinn
  • Patent number: 6924088
    Abstract: A method and apparatus for processing a semiconductor wafer is provided for reducing CD microloading variation. OCD metrology is used to inspect a wafer to determine pre-etch CD microloading, by measuring the CD of dense and isolated photoresist lines. Other parameters can also be measured or otherwise determined, such as sidewall profile, photoresist layer thickness, underlying layer thickness, photoresist pattern density, open area, etc. The inspection results are fed forward to the etcher to determine process parameters, such as resist trim time and/or etch conditions, thereby achieving the desired post-etch CD microloading. In certain embodiments, the CD and profile measurements, trim, etch processing and post-etch cleaning are performed at a single module in a controlled environment. All of the transfer and processing steps performed by the module are performed in a clean environment, thereby increasing yield by avoiding exposing the wafer to the atmosphere and possible contamination between steps.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: August 2, 2005
    Assignee: Applied Materials, Inc.
    Inventors: David S. L. Mui, Wei Liu, Shashank C. Deshmukh, Hiroki Sasano
  • Patent number: 6900892
    Abstract: A gallery of seed profiles is constructed and the initial parameter values associated with the profiles are selected using manufacturing process knowledge of semiconductor devices. Manufacturing process knowledge may also be used to select the best seed profile and the best set of initial parameter values as the starting point of an optimization process whereby data associated with parameter values of the profile predicted by a model is compared to measured data in order to arrive at values of the parameters. Film layers over or under the periodic structure may also be taken into account. Different radiation parameters such as the reflectivities RS, RP and ellipsometric parameters may be used in measuring the diffracting structures and the associated films. Some of the radiation parameters may be more sensitive to a change in the parameter value of the profile or of the films then other radiation parameters.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: May 31, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Andrei V. Shchegrov, Anatoly Fabrikant, Mehrdad Nikoonahad
  • Patent number: 6894790
    Abstract: A test pattern formed in a scribe line area of a wafer is irradiated with a light beam to measure the width thereof; the test pattern is irradiated with an electron beam so as to measure the width thereof; an amount of change in the width of the test pattern is calculated; a dummy pattern having the same width as that of a semiconductor device of the wafer is irradiated with an electron beam to measure the width thereof; and the width of a pattern is estimated by the use of the calculated amount of width change so as to determine the shape of the pattern. Thus, a shape measuring system and method capable of determining the shape of a micropattern in a semiconductor device without changing the dimensions of the micropattern can be provided.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: May 17, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasuhiro Mitsui, Yasutsugu Usami, Isao Kawata, Yuya Toyoshima, Tadashi Otaka, Nobuyuki Iriki
  • Patent number: 6891628
    Abstract: An apparatus and method for determining a physical parameter of features on a substrate by illuminating the substrate with an incident light covering an incident wavelength range ??, e.g., from 190 nm to 1000 nm, where the substrate is at least semi-transparent. A response light received from the substrate and the feature is measured to obtain a response spectrum of the response light. Further, a complex-valued response due to the feature and the substrate is computed and both the response spectrum and the complex-valued response are used in determining the physical parameter. The response light is reflected light, transmitted light or a combination of the two. The complex-valued response typically includes a complex reflectance amplitude, a complex transmittance amplitude or both. The apparatus and method take into account the effects of vertical and lateral coherence length and are well suited for examining adjacent features.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: May 10, 2005
    Assignee: n & k Technology, Inc.
    Inventors: Guoguang Li, Phillip Walsh, Abdul R. Forouhi
  • Patent number: 6891627
    Abstract: Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, critical dimension and overlay misregistration. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: May 10, 2005
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Ady Levy, Kyle A. Brown, Gary Bultman, Mehrdad Nikoonahad, Dan Wack, John Fielden
  • Patent number: 6867862
    Abstract: A metrology system for characterizing three-dimensional structures and methods for manufacturing and using same. The metrology system includes a measurement system that preferably comprises an energy source and energy detector and that is in communication with a processing system. Under control of the processing system, the metrology system rotates the measurement system relative to a structure while the energy source directs a beam of incident energy toward the structure. The incident energy rebounds from the structure as scattered energy, at least a portion of which propagates toward the energy detector. Due to the relative rotation, the energy detector receives scattered energy from the structure at a plurality of angles, and the measurement system produces data signals therefrom, which data signals are provided to the processing system. The processing system analyzes the data signals to determine whether the structure has any defects, such as yield limiting deviations or other processing defects.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: March 15, 2005
    Inventor: Mehrdad Nikoonahad
  • Patent number: 5093246
    Abstract: RNA enzymes or ribozymes can act as endoribonucleases, catalyzing the cleavage of RNA molecules with a sequence specificity of cleavage greater than that of known ribonucleases and approaching that of the DNA restriction endonucleases, thus serving as RNA sequence specific endoribonucleases. An example is a shortened form of the self-splicing ribonsomal RNA intervening sequence of Tetrahymena (L-19 IVS RNA). Site-specific mutagenesis of the enzyme active site of the L-19 IVS RNA alters the substrate sequence specificity in a predictable manner, allowing a set of sequence-specific endoribonucleases to be synthesized. Varying conditions allow the ribozyme to act as a polymerase (nucleotidyltransferase), a dephosphorylase (acid phosphatase or phosphotransferase) or a sequence-specific endoribonuclease.
    Type: Grant
    Filed: August 3, 1990
    Date of Patent: March 3, 1992
    Assignee: University Patents, Inc.
    Inventors: Thomas R. Cech, Arthur J. Zaug, Michael D. Been