Wafer Cassette Transporting Patents (Class 414/940)
  • Patent number: 6775918
    Abstract: A wafer cassette pod that is equipped with at least one position sensing device to avoid the accidental falling of a cassette pod door resulting in wafer breakage. The wafer cassette pod is provided with at least one position sensing device mounted in the sidewall of the cassette pod with a finger member protruding from the end surface of the sidewall forming the cassette pod opening. When the wafer cassette pod is not properly positioned, or docked on the loadport, the spring force of the position sensing device pushes the cassette pod away from the entrance of the loadport and thus, preventing the accidental falling of a cassette pod poor resulting in possible wafer breakage problems.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: August 17, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Hisen-Hwa Tseng, Chia-Hung Chung, Ming-Chien Wen
  • Patent number: 6773220
    Abstract: A modular stocker for storage and retrieval of semi-conductor wafer cassettes that minimizes system down time for repair of replacement of components. The modular stocker is comprised of a rectangular or half cylindrical enclosure formed by vertical beams secured by a plurality of horizontal joists. A pick up and transfer device for storing and retrieving semi-conductor wafer cassettes in the stocker has a linear arm and a paddle or gripper for picking up the cassettes. The linear arm and paddle or gripper are driven vertically, horizontally, and rotationally by a plurality of servo motors which are mounted on a detachable chassis that forms one side of the stocker. Quick disconnect fasteners at the corners of the chassis and bottom mounted casters allow the entire chassis with the pick up and transfer device to be disconnected and rolled out of the stocker for repair or replacement. The stocker electronics are mounted on removable panels that slide in or out of the stocker on rails.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: August 10, 2004
    Assignee: Intrabay Automation, Inc.
    Inventor: Paul S. Whalen
  • Patent number: 6774056
    Abstract: A process system for processing a semiconductor wafer or other similar flat workpiece has a head including a workpiece holder. A motor in the head spins the workpiece. A head lifter lowers the head to move the workpiece into a bath of liquid in a bowl. Sonic energy is introduced into the liquid and travels through the liquid to the workpiece, to assist in processing. The head is lifted to bring the workpiece to a rinse position. The bath liquid is drained. The workpiece is rinsed via radial spray nozzles in the base. The head is lifted to a dry position. A reciprocating swing arm sprays a drying fluid onto the bottom surface of the spinning wafer, to dry the wafer.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: August 10, 2004
    Assignee: Semitool, Inc.
    Inventors: Jon Kuntz, Steven Peace, Ed Derks, Brian Aegerter
  • Publication number: 20040149672
    Abstract: The present invention provides an overhead travelling carriage system in which an overhead travelling carriage 110 is run along a running rail to convey a cassette 14 between processing devices 111. The overhead travelling carriage system is provided with an elevating space 8 for a platform 12 on which transfer means 51 is provided to transfer the cassette 14 and a storage space 10 in which a plurality of shelves are provided in a vertical direction to store cassettes 14. The elevating space and the storage space are arranged in a direction orthogonal to the running rail, as viewed from above. According to the present invention, the stocker requires a reduced space.
    Type: Application
    Filed: December 16, 2003
    Publication date: August 5, 2004
    Applicant: Murata Kikai Kabushiki Kaisha
    Inventors: Yoichi Motoori, Akito Tai, Hisanori Matsumoto
  • Patent number: 6766895
    Abstract: In a work conveying system including at least a work holder for holding a work and a horizontal mover for moving the work holder horizontally in an upper ceiling space within a clean room, the work being conveyed to each of plural processing apparatuses. The horizontal mover has at least one linear moving mechanism including a duct with an internal guide, a traveling body engaging the guide and traveling through the traveling path defined by the duct, and a slider connected to the traveling body for travel with the traveling body on the exterior of the traveling path. The work holder is attached to the slider. Air cleaners are disposed at suitable intervals in the traveling path to clean the air therein and to discharge the cleaned air to the exterior. The traveling path accommodates a drive source, a drive mechanism, and a power supply.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: July 27, 2004
    Assignee: Hirata Corporation
    Inventor: Kazuyuki Matsumura
  • Patent number: 6759336
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove absorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: July 6, 2004
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Patent number: 6759256
    Abstract: A semiconductor fabricating method is disclosed. A first container accommodating a predetermined number of semiconductor wafers and labeled with a first identifier and a second container labeled with a second identifier are mounted on a first processing apparatus, and the first and second identifiers are stored. While the first processing apparatus is submitting the semiconductor wafers to a first process, designated ones of the processed wafers are loaded into the second container as sample wafers. The second container is mounted on an inspection apparatus to inspect the sample wafers. Then, the first and second containers are mounted on a second processing apparatus and are identified by comparison of identifiers thereof with the stored first and second identifiers, respectively. The second processing apparatus submits the rest of the processed semiconductor wafers and the inspected sample wafers to a second process, and the processed sample wafers are returned to the first container.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: July 6, 2004
    Assignee: Sony Corporation
    Inventor: Toshiyuki Makita
  • Patent number: 6755602
    Abstract: A pod for transporting a cassette of semiconductor wafers that is equipped with a linearly operated door opening/closing mechanism is provided. The pod includes a body member, a cover member and a latch carried on the covet member for latching the cover member onto the body member. The latch is actuatable and operable linearly from a latched condition in which the cover member is latched onto the body member to a released condition allowing removal of the cover member from the body member when engaged linearly by a latch key of a door opener situated in a loadport onto which the cassette pod is positioned.
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: June 29, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Hsien-Hua Tseng, Chia-Hung Chung
  • Patent number: 6751882
    Abstract: A mechanism for positioning a substrate of an image sensor. The substrate has first to fourth edges. The mechanism includes a standard unit, a link unit, and a push-up needle unit. The standard unit has adjacent first and second standard planes, both of which define a positioning region for receiving the substrate. The first and second edges contact the first and second standard planes, respectively. The link unit includes a first link and a second link pivotally mounted to the first link at a pivotal portion for positioning the third edge of the substrate. The push-up needle unit positions the fourth edge. When the link unit operates, the push-up needle unit and the pivotal portion of the link unit are moved toward the fourth edge and the third edge of the substrate, respectively, to position the substrate.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: June 22, 2004
    Assignee: Kingpak Technology Inc.
    Inventors: Pang-Chieh Yen, Jen-Te Huang, Yves Huang, Tanja Liu
  • Patent number: 6746197
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: June 8, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Publication number: 20040107021
    Abstract: A method for providing distributed material management and flow control in an integrated circuit (IC) factory. The IC factory comprises a factory stocker, a plurality of process bays and a factory transport agent for moving wafer cassettes between the bay and the stocker. Each of the bays comprises a bay stocker, a plurality of tools, a mini-stocker and a bay transport agent for moving wafers amongst the bay components. The apparatus uses partitioned stockers to facilitate deadlock avoidance or deadlock resolution. Additionally, various algorithms are used to detect wafer cassette movement situations where deadlocks may result from a wafer cassette movement within a bay and for resolving deadlocks when they occur.
    Type: Application
    Filed: January 6, 2004
    Publication date: June 3, 2004
    Inventors: Dusan Jevtic, Raja S. Sunkara
  • Patent number: 6742977
    Abstract: An unprocessed substrate is conveyed to a film-processing chamber at the same time a processed substrate is conveyed to a substrate preparation chamber, reducing the substrate processing cycle, thereby increasing the yield per unit time. The substrate preparation chamber has a two-tiered structure for receiving processed substrates and unprocessed substrates. A two-tiered transfer robot allows the substrates to be removed or placed into the preparation and process chambers at the same time, thus decreasing the cycle time for processing a substrate.
    Type: Grant
    Filed: February 15, 2000
    Date of Patent: June 1, 2004
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Satohiro Okayama, Motoichi Kanazawa, Takeshige Ishida, Tomohiko Takeda, Yukio Akita, Satoru Ichimura, Kazunori Suzuki, Teruo Yoshino, Tokunobu Akao, Yasunobu Nakayama
  • Patent number: 6742978
    Abstract: An indexing device including a housing, a cassette support mechanism, a cassette, and transport apparatus carried by the housing. The transport apparatus includes a bed of rollers having a common plane and a drive assembly coupled to the bed of rollers. The cassette support mechanism is mounted in the housing and movable along a pathway between a raised position and a lowered position relative the bed of rollers. The cassette is mounted on the cassette support mechanism.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: June 1, 2004
    Assignee: BTU International, Inc.
    Inventor: Steve McKinley
  • Patent number: 6736582
    Abstract: In a device for manipulating an object for loading and unloading a clean room, the problem is to reduce the hardware expense for adjustment devices and for air conditioning while maintaining ergonomic benefits and to further improve the clean room conditions in the device. The device contains a stationary outer part (3) of a housing (2) as well as an inner part (4) that can extend telescopically from it and a receptacle element (11) in the roof area of the inner part (4). For the telescopic extension of the inner part and for the lowering of the receptacle element into the inner part, a common guide rail (16) and a common drive (20) are provided. The receptacle element connected to the drive engages with a driver of the inner part for the telescopic extension of the inner part by vertical upward movement and after reaching an access level (E2, E2), at which the inner part is secured, it can be lowered in the inner part.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: May 18, 2004
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Andreas Birkner, Alfred Schulz, Klaus Schultz
  • Publication number: 20040081538
    Abstract: In a first aspect, a substrate loading station is served by a conveyor which continuously transports substrate carriers. A substrate carrier handler that is part of the substrate loading station operates to exchange substrate carriers with the conveyor while the conveyor is in motion. A carrier exchange procedure may include moving an end effector of the substrate carrier handler at a velocity that substantially matches a velocity of the conveyor. Numerous other aspects are provided.
    Type: Application
    Filed: August 28, 2003
    Publication date: April 29, 2004
    Inventors: Michael Robert Rice, Martin R. Elliott, Robert B. Lowrance, Jeffrey C. Hudgens, Eric Andrew Englhardt
  • Patent number: 6726429
    Abstract: A buffer apparatus includes a vertically moving mechanism containing a plurality of horizontally moving mechanisms to store carriers and transfer carriers to and from a load port, and one or more buffer load ports adjacent to the buffer apparatus to charge and uncharge the buffer apparatus by means of a guided vehicle, an overhead vehicle, or a human. A buffer system includes a buffer apparatus and a processing system load port to transfer carriers from the buffer apparatus to a processing system load port. An arrayed buffer system includes a plurality of buffer systems where each buffer system interacts with an individual set of load ports or a pair of buffer systems interacts with a shared set of load ports. A combination of arrayed buffer systems includes a plurality of adjacent arrayed buffer systems capable of sharing a single, environmental front-end mechanism maintenance space and capable of being serviced from the front.
    Type: Grant
    Filed: February 19, 2002
    Date of Patent: April 27, 2004
    Assignee: Vertical Solutions, Inc.
    Inventors: James G. Sackett, David E. Weldon, H. Alexander Anderson
  • Patent number: 6719100
    Abstract: A horizontal door system is used in conjunction with an interfloor vertical transport system that penetrates an opening in a floor. The vertical transport system has vertical elements that define a fixed horizontal cross-section within the opening, and the door system includes a leading edge profile having a configuration matching the fixed horizontal cross-section of the vertical transport system. The door system is particularly suitable as a fire door system to seal upper and lower fire zones.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: April 13, 2004
    Assignee: PRI Automation, Inc.
    Inventors: Albert P. James, Jr., Joseph Reiss
  • Publication number: 20040065258
    Abstract: An atomic layer deposition method includes positioning a plurality of semiconductor wafers into an atomic layer deposition chamber. Deposition precursor is emitted from individual gas inlets associated with individual of the wafers received within the chamber effective to form a respective monolayer onto said individual wafers received within the chamber. After forming the monolayer, purge gas is emitted from individual gas inlets associated with individual of the wafers received within the chamber. An atomic layer deposition tool includes a subatmospheric load chamber, a subatmospheric transfer chamber and a plurality of atomic layer deposition chambers. Other aspects and implementations are disclosed.
    Type: Application
    Filed: October 8, 2002
    Publication date: April 8, 2004
    Inventors: Gurtej S. Sandhu, Trung Tri Doan
  • Patent number: 6715978
    Abstract: An interbay transfer interface between an automated material handling system and a stocker which includes a conveyor belt positioned juxtaposed to the stocker, a plurality of open-top containers positioned on the conveyor belt, at least two locating pins situated on the conveyor belt for engaging the containers, a wave emitting/receiving device positioned immediately adjacent to the conveyor belt, and a controller for receiving signals from the wave emitting/receiving device and sensors mounted on the locating pins.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: April 6, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Li-Ren Lin, Cheng-Chang Chang
  • Patent number: 6717171
    Abstract: An apparatus and method for handling microelectronic workpieces initially positioned in a container. The container can be changeable from a first configuration where the microelectronic workpiece is generally inaccessible within the container to a second configuration where the microelectronic workpiece is accessible for removal from the container. The apparatus can include a container access device positionable proximate to an aperture of an enclosure that at least partially encloses a region for handling a microelectronic workpiece. The container access device can be movably positioned proximate to the aperture to change the configuration of the container from the first configuration to the second configuration. A container support can be positioned proximate to the aperture and can be configured to move the container to a fixed, stationary position relative to the aperture when the container is in the second configuration.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: April 6, 2004
    Assignee: Semitool, Inc.
    Inventors: Randy Harris, Kyle M. Hanson, Daniel P. Bexten
  • Patent number: 6712577
    Abstract: A semiconductor processing system for wafers or other semiconductor articles. The system uses an interface section at an end of the machine accessible from the clean room. A plurality of processing stations are arranged away from the clean room interface. A transfer subsystem removes wafers from supporting carriers, and positions both the wafers and carriers onto a carrousel which is used as an inventory storage. Wafers are shuttled between the inventory and processing stations by a robotic conveyor which is oriented to move toward and away from the interface end. The system processes the wafers without wafer carriers.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: March 30, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffrey A. Davis, Gary L. Curtis
  • Publication number: 20040052624
    Abstract: In an automated guided vehicle including a transfer equipment which transfers a wafer, a buffer cassette which temporarily stores the wafer, and a pressing member which is provided at an opening of the buffer cassette, when traveling the automated guided vehicle, if the pressing member is slid to a center position from an end position of the opening of the buffer cassette to contact and press against the edge of the wafer, the pressing member was easily damaged by being rubbed against a periphery of the wafer, and there was a problem in durability of the pressing member.
    Type: Application
    Filed: May 16, 2003
    Publication date: March 18, 2004
    Inventors: Ken Miyano, Takahiko Murayama, Takashi Nakao, Shuji Akiyama
  • Patent number: 6702865
    Abstract: An alignment processing mechanism 10 according to the present invention includes: a conveying mechanism 11 for conveying a substrate W to be processed, an alignment mechanism 12 for aligning the substrate W conveyed by the conveying mechanism 11 to a predetermined direction, and a buffer mechanism 13 for relaying the substrate W from the conveying mechanism 11 to the alignment mechanism 12. The buffer mechanism 13 is adapted to temporarily hold the substrate W conveyed by the conveying mechanism 11, and to pass the temporarily holding substrate W to the alignment mechanism 12 based on a state of the alignment mechanism 12. According to the present invention, the alignment mechanism 12 can be used with greater efficiency in order to achieve a high speed of an alignment process.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: March 9, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Ozawa, Masaki Narushima
  • Patent number: 6698991
    Abstract: A fabrication system is provided which includes a storage apparatus coupled perpendicularly to a branch transport aisle, and one or more environmentally controlled fabrication tools coupled parallel to the branch transport aisle. The fabrication tools can encompass single chamber units or larger cluster tools with sub mainframes. The storage apparatus has one or more load ports which allow transfer of wafer carriers to or from a factory transport agent. A tool loading platform is positioned to receive a wafer carrier from the storage apparatus and to enable the fabrication tool to access a wafer carrier positioned thereon. A plurality of fabrication tools may be coupled beside each other within the equipment set. Each of the plurality of fabrication tools is coupled to the storage apparatus so that a wafer or wafer carrier may be received from or transferred to a factory transport agent and may travel along the storage apparatus to any of the plurality of fabrication tools coupled thereto.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: March 2, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Robert Z. Bachrach, John C. Moran
  • Patent number: 6696367
    Abstract: A substrate fabrication system is provided which includes a buffer station located inline between a front docking port and a loadlock chamber, the buffer station being operatively joined with a front handling chamber. Preferred embodiments employ a buffer station having a rack with reduced pitch, or relative spacing between shelves. Additional embodiments provide variable pitch end effectors as part of the disclosed fabrication system. Methods of fabricating wafers by quickly transferring them to purgeable buffer stations upon wafers arriving at a docking port are also provided.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: February 24, 2004
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Jim Kusbel, Jim Alexander
  • Patent number: 6692219
    Abstract: A wafer handling system and a method of retrofitting the system to an existing wafer handling apparatus are provided that make possible a method of handling wafers by contacting only a narrow area of not more than two millimeters wide adjacent the edge of the wafer, which is particularly useful for backside deposition where device side contact defines an area of exclusion that renders the wafer unusable in that area. The system provides a chuck on a wafer transfer arm that holds a wafer by gravity on a segmented, upwardly facing annular surface. A compatible annular surface is provided on an aligning station chuck so that wafers can be transferred by contact only with the exclusion area of the wafer surface. A load arm has two similarly compatible chucks further provided with pneumatically actuated grippers to allow the wafer to be loaded into a vertical processing apparatus.
    Type: Grant
    Filed: November 29, 2000
    Date of Patent: February 17, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Stephen D. Coomer, Stanislaw Kopacz, Glyn Reynolds, Michael James Lombardi, Todd Michael Visconti
  • Patent number: 6688840
    Abstract: A transport apparatus for transporting a carrier with a plurality of works placed thereon includes a loader for loading the carrier into a processing unit for performing a predetermined process for the works and a transporter for receiving the carrier loaded by the loader and intermittently transporting the carrier such that the plurality of works are sequentially positioned at a process position of the processing unit one by one. In addition, an unloading mechanism receives the carrier from the transporter after all of the plurality of works are subjected to the predetermined process at the process position, and unloads the carrier outside the processing unit, wherein the work is processed in the state it is placed on the carrier.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: February 10, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shuichi Hirasawa
  • Patent number: 6685419
    Abstract: A portable assembly allows semiconductor wafer boats to be transported safely from one semiconductor processing device to another semiconductor processing device at low cost using a small amount of the fabrication area without the need for complicated automated systems. The portable assembly includes two hollow bodies, of which one body may be elevated electromechanically to the height of a cantilever oven opening. The elevation may be conducted manually or automatically. The upper body of the assembly contains a rotating drum which further includes four segmented cylinders, which store and queue the wafer boats. The lower body contains a safety shoe locking apparatus which allows the elevator mechanism to be activated only when the assembly is docked in the appropriate location. The assembly also contains storage areas for wafers in progress. The assembly is moved manually on a set of wheels and may be rotated about its axis.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: February 3, 2004
    Inventor: Christopher John Bayne
  • Patent number: 6682343
    Abstract: A substrate processing apparatus includes a substrate holder for holding a substrate with a holding angle of 45 degrees to 90 degrees with respect to a horizontal plane, a conveying system to convey the substrate with the substrate holder, a process chamber in which the substrate is processed, a load-lock chamber in which the substrate temporarily stays, and an intermediate chamber provided between the process chamber and the load-lock chamber. The conveying system conveys the substrate along the first direction from the load-lock chamber to the intermediate chamber, and from the intermediate chamber to the process chamber, and also conveys the substrate along the second direction perpendicular to the first direction.
    Type: Grant
    Filed: August 13, 2001
    Date of Patent: January 27, 2004
    Assignee: Anelva Corporation
    Inventor: Nobuyuki Takahashi
  • Patent number: 6684123
    Abstract: A factory interface for a multiple chamber semiconductor wafer processing cluster tool having a K-wafer load-lock (KWLL). The KWLL comprises a variable number of K+1 wafer slots assigned as inbound and outbound slots. Inbound slots are used to send up to K+1 wafers into the cluster tool and the same slots, denoted as outbound slots, are used for receiving up to K+1 wafers from the cluster tool. The K+1 slots are in the same volume that has to be pumped for wafers to enter the tool and vented for wafers that to leave the tool. These K+1 slots accommodate up to K wafers when accessed by a single blade robots from the tool or the factory interface, and up to K+1 wafers when the tool and factory interface are equipped with dual blade robots. Various KWLL loading methods can be selected to optimize the throughput of a wafer processing system using the KWLL. Such methods include wafer packing, reactive and gamma tolerant methods.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: January 27, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Dusan Jevtic, Raja Sunkara
  • Patent number: 6680775
    Abstract: The mask guiding device of the present invention has a mask guiding device that guides a substrate received from the outside. The mask guiding device is provided with a plurality of receiving portions that receives said mask from the outside.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 20, 2004
    Assignee: Nikon Corporation
    Inventor: Shinichi Hirakawa
  • Patent number: 6679194
    Abstract: A cassette table on which a wafer cassette is supported allows static electricity to be discharged from the cassette and hence, form the wafers disposed in the cassette. The cassette table includes a top plate, a cassette supporter that is mounted on the top plate and supports the cassette. At least part of the cassette supporter is made of a material that allows static electricity to be discharged to ground therethrough.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: January 20, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Seok Ham, Kun-Hyung Lee, Hyeogi-Ki Kim, Kyoung-Ho Park
  • Patent number: 6672819
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: January 6, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6670568
    Abstract: An installation for processing wafers in at least one clean room is described. The installation has a configuration of production units for carrying out individual production steps and measuring units for inspecting the production steps. The production units and the measuring units are connected via a transport system for feeding and removing the wafers. At least one measuring unit together with an unloading station for delivering wafers to the transport system forms a measuring station, in which case wafers processed so as to be free of defects can be delivered from the measuring station separately from the remaining wafers.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: December 30, 2003
    Assignee: Infineon Technologies AG
    Inventor: Michael Goetzke
  • Patent number: 6663340
    Abstract: A processing tool bay within a semiconductor fabrication site, including a plurality of semiconductor processing tools for processing wafers being arranged in two opposite rows. An intrabay transport system for transporting wafer carriers around the process tool bay at least in a vertical plane in front of one of said two rows of semiconductor process tools comprises at least one vehicle for receiving and delivering a wafer carrier to and from any one semiconductor process tool of said plurality of semiconductor process tools, and a vehicle guiding mechanism. The vehicle comprises a circular compartment structure including a plurality of compartments for buffering said wafer carrier between receiving it at a first location and delivering it at a second location. Each compartment is arranged for accommodating one wafer carrier. The compartment structure is rotatable around a symmetry axis of itself for an alignment.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: December 16, 2003
    Assignee: Motorola, Inc.
    Inventors: Jason S. Zeakes, Clinton Haris, Karl E. Mautz, William Mark Hiatt
  • Patent number: 6663332
    Abstract: Installation for treatment of wafers in a reactor. To that end a series of wafers is placed in a wafer rack and fed into the reactor. Transport into and out of the reactor, which is sited in an enclosed chamber, takes place with the aid of conveyor means. The wafers are transferred from the wafer rack to one or more cassettes. During this operation the wafer rack is always in the vertical position, that is to say the wafers are horizontal. The same preferably also applies to the cassettes, so that the wafers remain horizontal throughout the entire process.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: December 16, 2003
    Assignee: ASM International N.V.
    Inventors: Boudewijn Gijsbert Sluijk, Christianus Gerardus Maria De Ridder
  • Patent number: 6660104
    Abstract: In a method for processing flat media, such as semiconductor wafers, first and second cassettes carrying wafers are loaded into a dual position rotor. The cassettes are restrained within the rotor by support tubes and hold down pins. Processing capacity is increased, as two cassettes are simultaneously processed.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: December 9, 2003
    Assignee: Semitool, Inc.
    Inventors: Raymon F. Thompson, Clif Neil
  • Patent number: 6662076
    Abstract: Management of move requests from a factory system to an automated handling system (AMHS) is provided. In one embodiment, a method and system is provided which includes receiving a move request from the factory system and selectively passing the move request to the AMHS based on a comparison of the move request with one or more conditions of the AMHS. The move request may be selectively passed to the AMHS by, for example, passing the move request to the AMHS without modification, changing a destination tool identified in the move request and/or delaying the move request, or canceling the move request. By selectively passing the move request based on conditions of the AMHS, move requests can more efficiently be managed and the throughput of the automated material handling system can be increased.
    Type: Grant
    Filed: February 10, 1999
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Russel Shirley, Jason Grover
  • Patent number: 6655891
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: December 2, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6655898
    Abstract: An apparatus and method for simultaneously cycle-testing two wafer storage containers is provided. The two wafer containers are maintained in a counterbalance relationship to each other and cycled in a vertical up-and-down motion to simulate the forces of a selected overhead transport system.
    Type: Grant
    Filed: December 11, 2001
    Date of Patent: December 2, 2003
    Assignee: NEC Electronics, Inc.
    Inventors: David Liu-Barba, Simon Tong
  • Patent number: 6651704
    Abstract: Stationary and pivotable trays for semiconductor wafer transfer are disclosed. A first moving equipment is movable to move a container for holding one or more semiconductor wafers from a wafer loading and unloading position to a wafer tank immersion position. A second moving equipment is movable to move the container from the wafer loading and unloading position to a container loading and unloading position. A stationary tray is located under the wafer loading and unloading position to collect liquid dripping from the first moving equipment. A pivotable tray is located to one side of the stationary tray, to collect the liquid dripping from the first moving equipment when this equipment is moving to the wafer loading and unloading position, such that the pivotable tray is lowered. The pivotable tray is raised when the second moving equipment is moving to the wafer loading and unloading position.
    Type: Grant
    Filed: November 19, 2001
    Date of Patent: November 25, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Yin-Cheng Ma
  • Patent number: 6652212
    Abstract: A cylinder of the invention can precisely send out a piston rod 3 into four different positions and comprises: a spring receiving member 14 placed coaxially with piston rod 3 in a piston room (I-II) of a cylinder tube 2 so that the movement of spring receiving member 14 is limited by one end of the piston room; a first spring member 15 to separate the spring receiving member from the piston; a stopper 8 formed on piston rod 3 to limit spring receiving member 14 from moving in the opposite direction to piston 4, a hollow 9 formed on the periphery of piston rod 3 at farther position from piston 4 than stopper 8; and a stop pin 11 installed in the cylinder tube to be pressed in the direction of hollow 9 by a second spring member 12 to engage with hollow 9, wherein the movable length of piston rod 9 while stop pin 11 is engaged with hollow 9 is larger than movable distance of spring receiving member 14 from the piston. A load port and a production system of this invention are constructed using the cylinder.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: November 25, 2003
    Assignees: CKD Corporation, Semiconductor Leading Edge Technologies, Inc., Rorze Corporation
    Inventors: Shinyo Kimoto, Kenji Tokunaga, Katsunori Sakata, Norio Kajita
  • Publication number: 20030210971
    Abstract: A latch sensor for a pod transport gripper for transferring semiconductor wafers is disclosed. The transport gripper has a left bar and a right bar, as well as a cross bar connecting the left and the right bars. The gripper also has a left clamp and a right clamp disposed on interior sides of the left bar and the right bar, respectively, to clamp a pod, such as a front-opening unified pod (FOUP), for transport. A number of latches are disposed on the cross bar, and correspond to a number of latch holes of the pod. The gripper has at least one latch sensor disposed on either the left bar, the right bar, or both, to determine whether the latches have properly engaged the latch holes of the pod.
    Type: Application
    Filed: May 9, 2002
    Publication date: November 13, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yan-Ping Lee, Kuo-Hsing Teng, Chi-Chung Chang, Fu-Shun Lo
  • Patent number: 6641350
    Abstract: A substrate processing equipment comprises two pod supporting stages and two independently operable pod door openers. Each pod supporting stage is capable of placing thereon a pod for containing substrates therein. Each pod door openers having means for permitting access to the substrates inside the pod placed on a corresponding pod supporting stage.
    Type: Grant
    Filed: April 16, 2001
    Date of Patent: November 4, 2003
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takanobu Nakashima, Tatsuhisa Matsunaga, Hidehiro Yanagawa
  • Patent number: 6642997
    Abstract: A substrate conveying system for conveying a substrate contained in an accommodating container having a supporting member for supporting the substrate. The system includes a hand for holding the substrate, a driving mechanism for moving the hand toward and away from the container, along a direction approximately parallel to the surface of the substrate, the driving mechanism being operable to move the hand to below the substrate, an elevation mechanism for moving the hand relative to the container, along a direction approximately perpendicular to the surface of the substrate, the elevation mechanism being operable to move the hand upwardly relative to the supporting member, for transfer of the substrate from the supporting member to the hand, and an obstacle detecting system for detecting the presence/absence of an obstacle within a movement range of the hand defined by the driving mechanism.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: November 4, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6637998
    Abstract: A mobile, self-evacuating, micro-environment system for transit and storage of substrates between two or more processing chambers in the manufacture of semiconductor devices is provided where the system includes a mobile cart, a vacuum sealable container to hold the substrates, a vacuum source having a portable power source, located on the cart and capable of generating a vacuum in the container, and a docking valve to mate with a corresponding valve on each of the processing chambers, where the docking valve and the corresponding valve are securable to one another to form a substantially vacuum-tight seal and openable, while mated, to permit unloading and loading of substrates between the container and the processing chamber. A method of using the system is also provided.
    Type: Grant
    Filed: October 1, 2001
    Date of Patent: October 28, 2003
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Giles Langan, Wayne Thomas McDermott, Thomas Hsiao-Ling Hsiung
  • Patent number: 6634116
    Abstract: A wafer conveyor system for use in a vacuum processing apparatus wherein the conveyor structure is provided with a transfer structure, and a robot apparatus is arranged on the transfer structure. The robot provides for rotation of the wafer in a horizontally from a position in a cassette to an opposite position of the cassette.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: October 21, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6631934
    Abstract: A silicon carbide cantilever paddle for use in semiconductor wafer processing is disclosed. The cantilever paddle is characterized in that it exhibits acceptable deflection characteristics over the entire range of weight loads with which it may be used, and that it is compatible with existing clamp systems. This is achieved by providing a “two-stage” handle having a large diameter section and a small diameter section, the sections being separated by a handle transition zone.
    Type: Grant
    Filed: June 2, 2000
    Date of Patent: October 14, 2003
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventor: Richard F. Buckley
  • Publication number: 20030190223
    Abstract: For bay type structures of semiconductor wafer transport systems, a configuration includes interbay rail tracks and intrabay rail tracks mounted on each other, vehicles of the interbay system and carrier transfer cars of the intrabay system moving freely bi-directional inside the bay area without obstructing each other. The carrier transfer car is configured such that a wafer carrier can be directly loaded from the vehicle to the load port of a processing machine. The configuration simplifies and accelerates the transfer and enhances the flexibility of vehicles in wafer transport.
    Type: Application
    Filed: April 25, 2003
    Publication date: October 9, 2003
    Inventor: Martin Peiter
  • Patent number: 6619903
    Abstract: A reticle protection and transport system and method for a lithography tool. The system includes an indexer that stores a plurality of reticles and a removable reticle cassette. The removable reticle cassette is comprised of an inner chamber and an outer chamber. The system further includes an end effector coupled to a robotic arm. The end effector engages one of the plurality of reticles to enable the reticle to be positioned within the removable reticle cassette and thereafter transported. The system further includes a seal, coupled to the end effector and the robotic arm. To transport the reticle, the reticle is first loaded onto the end effector. Next, the end effector is used to create an arrangement wherein the reticle is loaded into the removable reticle cassette. Importantly, the reticle and removable reticle cassette do not come into contact with one another.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: September 16, 2003
    Inventors: Glenn M. Friedman, Michael DeMarco, Jorge S. Ivaldi, James A. McClay