Electron Beam Imaging Patents (Class 430/296)
  • Patent number: 10445450
    Abstract: In one embodiment, a generating method of drawing data includes generating a pixel map that includes dose amount information on each of pixels obtained by dividing a drawing area on an object into a mesh, extracting, from the pixel map, an island-shaped pixel map which is a group of multiple pixels in which the dose amount information is not zero, determining an order of definition of the dose amount information on the pixels in the island-shaped pixel map, and generating a compressed pixel map including a size of the pixels, information indicating the order of definition, coordinates of a pixel which is first in the order of definition in the island-shaped pixel map, and the dose amount information on the pixels in the island-shaped pixel map, the dose amount information being continuously defined based on the order of definition.
    Type: Grant
    Filed: August 31, 2017
    Date of Patent: October 15, 2019
    Assignee: NuFlare Technology, Inc.
    Inventors: Shigehiro Hara, Kenichi Yasui
  • Patent number: 10393642
    Abstract: A technique related to sorting entities is provided. An inlet is configured to receive a fluid, and an outlet is configured to exit the fluid. A nanopillar array, connected to the inlet and the outlet, is configured to allow the fluid to flow from the inlet to the outlet. The nanopillar array includes nanopillars arranged to separate entities by size. The nanopillars are arranged to have a gap separating one nanopillar from another nanopillar. The gap is constructed to be in a nanoscale range.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: August 27, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann A. Astier, Robert L. Bruce, Joshua T. Smith, Chao Wang, Benjamin H. Wunsch
  • Patent number: 10386713
    Abstract: A method of fabricating a mask, the method including performing first optical proximity correction on first segments, obtaining a correspondence relationship between a feature of each of the first segments defined based on optical characteristics of a mask and an aperture and a bias value associated with each of the first segments, performing second optical proximity correction on second segments, and fabricating a mask based on a result of the second optical proximity correction. A feature of each of the second segments is obtained based on optical characteristics of a mask and the aperture. A bias value, that is obtained to correspond to the feature of each of the second segments based on the correspondence relationship, is allocated as an initial bias value to each of the second segments.
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: August 20, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Moon-Gyu Jeong
  • Patent number: 10372031
    Abstract: A method of making microstructures, the method including: providing a first substrate, setting a photoresist layer on a surface of the first substrate; covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate comprises a second substrate and a carbon nanotube composite layer located on a surface of the second substrate; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; developing the exposed photoresist layer to obtain a patterned photoresist microstructures.
    Type: Grant
    Filed: August 18, 2017
    Date of Patent: August 6, 2019
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10359695
    Abstract: The present disclosure provides one embodiment of an IC method that includes receiving an IC design layout, which has a plurality of main features and a plurality of space blocks. The IC method also includes calculating an optimized block dummy density ratio r0 to optimize a uniformity of pattern density (UPD), determining a target block dummy density ratio R, determining size, pitch and type of a non-printable dummy feature, generating a pattern for dummy features and adding the dummy features in the IC design layout.
    Type: Grant
    Filed: March 2, 2017
    Date of Patent: July 23, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jyuh-Fuh Lin, Cheng-Hung Chen, Pei-Yi Liu, Wen-Chuan Wang, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 10350548
    Abstract: Biomass (e.g., plant biomass, animal biomass, and municipal waste biomass) or other materials are processed to produce useful intermediates and products, such as energy, fuels, foods or materials. For example, systems and methods are described that can be used to treat feedstock materials, such as cellulosic and/or lignocellulosic materials, in a vault in which the walls and optionally the ceiling include discrete units. Such vaults are re-configurable.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: July 16, 2019
    Assignee: Xyleco, Inc.
    Inventors: Marshall Medoff, Thomas Craig Masterman, Robert Paradis
  • Patent number: 10338474
    Abstract: Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. Particular embodiments are directed to implementation of an underlying absorbing and/or conducting layer for ebeam direct write (EBDW) lithography.
    Type: Grant
    Filed: June 18, 2015
    Date of Patent: July 2, 2019
    Assignee: Intel Corporation
    Inventors: Shakul Tandon, Yan A. Borodovsky, Charles H. Wallace, Paul A. Nyhus
  • Patent number: 10295339
    Abstract: A pattern measurement method and measurement apparatus are provided that appropriately evaluate the deformation of a pattern occurring due to a micro loading effect. In order to achieve the above-mentioned object, there are provided pattern measurement method and apparatus that measure a dimension of a pattern formed on a sample. In the pattern measurement method and apparatus, distances between a reference pattern and a plurality of adjacent patterns adjacent to the reference pattern or inner diameters of the reference pattern in a plurality of directions are measured, and the measurement results of the plurality of distances between the reference pattern and the adjacent patterns or the measurement results of the inner diameters of the reference pattern in the plurality of directions are classified according to distances between the reference pattern and the adjacent patterns or directions of the patterns adjacent to the reference pattern.
    Type: Grant
    Filed: July 21, 2016
    Date of Patent: May 21, 2019
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuhisa Hasumi, Masami Ikota
  • Patent number: 10290467
    Abstract: A method for fracturing or mask data preparation is disclosed in which a plurality of single-beam charged particle beam shots is used to create a plurality of multi-beam shots, where multi-beam exposure information is determined for each of the single-beam shots, and then the resulting multi-beam exposure information is used to generate a set of multi-beam shots. Additionally, a method for fracturing or mask data preparation is disclosed in which a plurality of single-beam shots is used to generate a set of multi-beam shots by calculating an image which the single-beam shots would form on a surface.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: May 14, 2019
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 10290528
    Abstract: Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a method of real-time alignment of a wafer situated on a stage of an e-beam tool involves collecting backscattered electrons from an underlying patterned feature of the wafer while an e-beam column of the e-beam tool writes during scanning of the stage. The collecting is performed by an electron detector placed at the e-beam column bottom. The method also involves performing linear corrections of an alignment of the stage relative to the e-beam column based on the collecting.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: May 14, 2019
    Assignee: Intel Corporation
    Inventors: Yan A. Borodovsky, Donald W. Nelson, Mark C. Phillips
  • Patent number: 10234764
    Abstract: The present invention relates to an electron beam (eBeam) resist composition, particularly an (eBeam) resist composition for use in the fabrication of integrated circuits. Such resist compositions include an anti-scattering compound which minimizes scattering and secondary electron generation, thus affording extremely high resolution lithography. Such high resolution lithography may be used directly upon silicon-based substrates to produce integrated circuits, or may alternatively be used to produce a lithographic mask (e.g. photomask) to facilitate high-resolution lithography.
    Type: Grant
    Filed: July 30, 2015
    Date of Patent: March 19, 2019
    Assignee: The University of Manchester
    Inventors: Scott Lewis, Richard Winpenny, Stephen Yeates
  • Patent number: 10216088
    Abstract: The disclosure relates to a photolithography method based on electronic beam. The method includes: providing an electronic beam; making the electron beam transmit a two dimensional nanomaterial to form a transmission electron beam and a number of diffraction electron beams; shielding the transmission electron beam; and radiating a surface of an object by the plurality of diffraction electron beams. The photolithography method is high efficiency and has low cost.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: February 26, 2019
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Peng Liu, Wei Zhao, Xiao-Yang Lin, Duan-Liang Zhou, Chun-Hai Zhang, Kai-Li Jiang, Shou-Shan Fan
  • Patent number: 10175578
    Abstract: A pattern forming method includes coating an actinic ray-sensitive or radiation-sensitive resin composition onto a substrate to form an actinic ray-sensitive or radiation-sensitive film, coating a composition for forming a protective film onto the actinic ray-sensitive or radiation-sensitive film to form a protective film, exposing the actinic ray-sensitive or radiation-sensitive film covered with the protective film, and developing the exposed actinic ray-sensitive or radiation-sensitive film using a developer containing an organic solvent, in which the protective film contains a compound (A) including at least one group or bond selected from the group consisting of an ether bond, a thioether bond, a hydroxyl group, a thiol group, a carbonyl bond, and an ester bond, and a resin (X).
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: January 8, 2019
    Assignee: FUJIFILM Corporation
    Inventors: Naoki Inoue, Naohiro Tango, Kei Yamamoto, Michihiro Shirakawa, Akiyoshi Goto
  • Patent number: 10134562
    Abstract: A multi charged particle beam writing apparatus includes a modulation rate data calculation processing circuitry to calculate, for each pixel being a unit region, a modulation rate of a beam to a pixel concerned and each modulation rate of a beam to at least one pixel at a periphery of the pixel concerned, and a corrected-dose calculation processing circuitry to calculate, for the each pixel, a corrected dose by adding a multiplied value obtained by multiplying the modulation rate of the pixel concerned in a modulation rate map by beam dose to the pixel concerned, and a multiplied value obtained by multiplying the modulation rate of the pixel concerned which becomes one of the at least one pixel at the periphery with respect to another pixel defined for the position of the pixel concerned by a beam dose to the another pixel.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: November 20, 2018
    Assignee: NuFlare Technology, Inc.
    Inventors: Yasuo Kato, Hideo Inoue, Hiroshi Matsumoto, Ryoh Kawana
  • Patent number: 10126652
    Abstract: This disclosure provides embodiments of an approach that enforces coexistence of multiple, aligned block copolymer morphologies within a single patterning layer.
    Type: Grant
    Filed: September 19, 2016
    Date of Patent: November 13, 2018
    Assignee: Brookhaven Science Associates, LLC
    Inventors: Charles Thomas Black, Aaron Stein, Gwen Wright, Kevin G. Yager
  • Patent number: 10124091
    Abstract: Disclosed are compositions including a film enriched with a radioisotope relative to its natural abundance, wherein the film has a thickness of one to ten atomic or molecular layers, decay of the radioisotope comprises emission of electrons, and a majority of the emitted electrons have an energy less than or equal to 700 electron volts (ev). Also disclosed are methods for making the compositions. The compositions can be used in microarrays, nanoarrays, microparticles, nanoparticles, power sources, sensing devices, and medical devices; they may also be used in a method of delivering low-energy electrons to a liquid, solid, molecular layer, or cell.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: November 13, 2018
    Assignee: Trustees of Tufts College
    Inventors: Charles Sykes, Alex Pronschinske, Colin Murphy
  • Patent number: 10109453
    Abstract: Transmission microscopy imaging systems include a mask and/or other modulator situated to encode image beams, e.g., by deflecting the image beam with respect to the mask and/or sensor. The beam is modulated/masked either before or after transmission through a sample to induce a spatially and/or temporally encoded signal by modifying any of the beam/image components including the phase/coherence, intensity, or position of the beam at the sensor. For example, a mask can be placed/translated through the beam so that several masked beams are received by a sensor during a single sensor integration time. Images associated with multiple mask displacements are then used to reconstruct a video sequence using a compressive sensing method. Another example of masked modulation involves a mechanism for phase-retrieval, whereby the beam is modulated by a set of different masks in the image plane and each masked image is recorded in the diffraction plane.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: October 23, 2018
    Assignee: Battelle Memorial Institute
    Inventors: Andrew J. Stevens, Libor Kovarik, Nigel D. Browning, Andrey V. Liyu
  • Patent number: 10095157
    Abstract: A semiconductive roller is provided which includes a roller body including a tubular inner layer of an inner layer elastic material containing a softener, and an outer layer of an outer layer elastic material provided on an outer periphery of the inner layer. The outer layer elastic material has a swelling percentage of not higher than 1% as measured when the outer layer elastic material is immersed in the softener at 100° C. for 24 hours. Thus, the semiconductive roller has proper flexibility to be substantially free from imaging failures such as white voids, image density reduction and fogging, and is less liable to cause the contamination of a photoreceptor body and the like and the associated defective image formation which may otherwise occur due to the bleeding of the softener.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: October 9, 2018
    Assignee: SUMITOMO RUBBER INDUSTRIES, LTD.
    Inventor: Daijiro Suzuki
  • Patent number: 10074515
    Abstract: According to one aspect of the present invention, a charged particle beam lithography method includes forming, such that a shape identical to a first figure pattern obtained using a first charged particle beam having a first resolution can be obtained by superimposing a plurality of second figure patterns, said plurality of second figure patterns that have different widths and are obtained by using a second charged particle beam having a second resolution higher than the first resolution; and performing multiple writing of the plurality of second figure patterns, which are stacked, by using the second charged particle beam.
    Type: Grant
    Filed: June 15, 2016
    Date of Patent: September 11, 2018
    Assignee: NuFlare Technology, Inc.
    Inventor: Munehiro Ogasawara
  • Patent number: 10042263
    Abstract: Cantilevers, SPM tips and nanomachining tools are created in the plane of wafers to obtain new and high performance parts. The method produces more parts for any given wafer, then conventional methods and allows every part on any given wafer to be different from any other, permitting great freedom in new SPM and nanomachining techniques and product development.
    Type: Grant
    Filed: September 27, 2017
    Date of Patent: August 7, 2018
    Inventor: Victor B. Kley
  • Patent number: 10042258
    Abstract: This composition for forming an extreme-ultraviolet (EUV) or electron-beam upper-layer resist film including (a) a polymer (P) and (b) a solvent, the solvent containing 1 to 13 mass % of a C4-12 ketone compound with respect to the entire solvent, is used in the lithography process of a procedure for manufacturing a semiconductor device. Without needing to be intermixed with a resist, and particularly on the occasion of EUV exposure, the composition for forming an EUV or electron-beam upper-layer resist film blocks undesirable exposure light, e.g., ultraviolet (UV) or deep ultraviolet (DUV) rays, and selectively transmits only the EUV rays, and can be developed using a developing solution after exposure.
    Type: Grant
    Filed: July 23, 2015
    Date of Patent: August 7, 2018
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Noriaki Fujitani, Takafumi Endo, Rikimaru Sakamoto
  • Patent number: 10032603
    Abstract: A charged particle beam lithography apparatus according to an embodiment includes: a pattern-writing-data data storage processing circuitry configured to store pattern writing data in association with pattern attribute information; a shot dividing processing circuitry configured to divide the pattern writing data into shot data in association with the pattern attribute information; an indicator data storage processing circuitry configured to store an indicator for determining correction section regions to be merged on calculation in an approximation calculation of heat transfers, the indicator being associated with the pattern attribute information; a pattern writing schedule creator configured to create a pattern writing schedule based on the shot data; an approximation-calculation-method determining processing circuitry configured to determine an approximation calculation method of the heat transfers from other shots written before a shot to be written, the shot being associated with the shot data to be wri
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: July 24, 2018
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Mizuna Suganuma
  • Patent number: 9989864
    Abstract: A measurement method including using multiple radiation poles to illuminate a diffraction grating on a mask at a mask side of a projection system of a lithographic apparatus, coupling at least two different resulting diffraction orders per illumination pole through the projection system, using the projection system to project the diffraction orders onto a grating on a wafer such that a pair of combination diffraction orders is formed by diffraction of the diffraction orders, coupling the combination diffraction orders back through the projection system to detectors configured to measure the intensity of the combination diffraction orders, and using the measured intensity of the combination diffraction orders to measure the position of the wafer grating.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: June 5, 2018
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Jacobus Matheus Baselmans, Wilhelmus Petrus De Boeij
  • Patent number: 9971247
    Abstract: A pattern-forming method comprises applying a chemically amplified resist material on an antireflective film formed on a substrate to form a resist material film. The resist material film is patternwise exposed to ionizing radiation or nonionizing radiation having a wavelength of no greater than 400 nm. The resist material film patternwise exposed is floodwise exposed to nonionizing radiation having a wavelength greater than the nonionizing radiation for the patternwise exposing and greater than 200 nm. The resist material film floodwise exposed is baked. The resist material film baked is developed with a developer solution. An extinction coefficient of the antireflective film for the nonionizing radiation employed for the floodwise exposing is no less than 0.1. The chemically amplified resist material comprises a base component and a generative component that is capable of generating a radiation-sensitive sensitizer and an acid upon an exposure.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: May 15, 2018
    Assignees: OSAKA UNIVERSITY, TOKYO ELECTRON LIMITED, JSR CORPORATION
    Inventors: Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai, Seiichi Tagawa, Akihiro Oshima, Seiji Nagahara
  • Patent number: 9952511
    Abstract: Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a blanker aperture array (BAA) for an e-beam tool is described. The BAA is a non-universal cutter.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: April 24, 2018
    Assignee: Intel Corporation
    Inventors: Yan A. Borodovsky, Donald W. Nelson, Mark C. Phillips
  • Patent number: 9939729
    Abstract: A resist pattern-forming method comprises applying a chemically amplified resist material on a substrate to form a resist film on the substrate. The resist film is patternwise exposed to a radioactive ray having a wavelength of no greater than 250 nm. The resist film patternwise exposed is floodwise exposed to a radioactive ray having a wavelength of greater than 250 nm. The resist film floodwise exposed is baked and developed with a developer solution comprising an organic solvent. The chemically amplified resist material comprises a component that is capable of generating a radiation-sensitive sensitizer and an acid upon an exposure. The component comprises: a radiation-sensitive sensitizer generating agent, and at least one of a radiation-sensitive acid-and-sensitizer generating agent and a radiation-sensitive acid generating agent. The radiation-sensitive sensitizer generating agent comprises a compound represented by formula (B).
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: April 10, 2018
    Assignee: JSR CORPORATION
    Inventors: Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai
  • Patent number: 9891519
    Abstract: A computer implemented method of fracturing free form target design into elementary shots for defined roughness of the contour comprises determining a first set of shots which pave the target design and determining a second set of shots to fill the gaps. The dose levels of overlapping shots in the first or second sets of shots are determined so the compounded dose is adequate to the resist threshold, considering the proximity effect of the actual imprint of shots on the insulated target. A dose geometry modulation is applied and rounded shot prints are produced by shots not circular that may overlap. The degree of overlap is determined as a function of desired optimization of fit criteria between a printed contour and the contour of the desired pattern. Placements and dimensions of the shots are determined by a plurality of fit criteria between printed contour and contour of the desired pattern.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: February 13, 2018
    Assignee: Aselta Nanographics
    Inventors: Serdar Manakli, Luc Martin
  • Patent number: 9874812
    Abstract: Methods of forming a hardmask material film are provided.
    Type: Grant
    Filed: January 29, 2016
    Date of Patent: January 23, 2018
    Assignees: SAMSUNG ELECTRONICS CO., LTD., SAMSUNG SDI CO., LTD.
    Inventors: Myeong-koo Kim, Nae-ry Yu, Won-ki Lee, Hyun-woo Kim, Song-se Yi, Min-soo Kim, Jae-yeol Baek, Hyun-ji Song
  • Patent number: 9868119
    Abstract: A technique related to sorting entities is provided. An inlet is configured to receive a fluid, and an outlet is configured to exit the fluid. A nanopillar array, connected to the inlet and the outlet, is configured to allow the fluid to flow from the inlet to the outlet. The nanopillar array includes nanopillars arranged to separate entities by size. The nanopillars are arranged to have a gap separating one nanopillar from another nanopillar. The gap is constructed to be in a nanoscale range.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: January 16, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann A. Astier, Robert L. Bruce, Joshua T. Smith, Chao Wang, Benjamin H. Wunsch
  • Patent number: 9852885
    Abstract: A charged particle beam writing method includes acquiring a pair of a reference dose and a backscatter coefficient for proximity effect correction using a first settling time, acquiring a first relation between a temperature rise amount and a critical dimension variation amount using a second settling time shorter than the first settling time, the backscatter coefficient and the reference dose acquired, calculating a temperature correction parameter depending on a temperature rise amount, for correcting a dose, by using the first relation, and a second relation on a dose and a pattern critical dimension in a case of using the first settling time, calculating a beam irradiation dose by the reference dose and a dose coefficient obtained from the backscatter coefficient of the pair acquired, and the temperature correction parameter, and writing a pattern with a beam based on the dose calculated using the second settling time.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: December 26, 2017
    Assignee: NuFlare Technology, Inc.
    Inventors: Mizuna Suganuma, Noriaki Nakayamada
  • Patent number: 9810988
    Abstract: The objective of this invention is to provide a composition for forming a topcoat layer enabling to produce a pattern excellent in roughness and in pattern shape; and also to provide a pattern formation method employing that composition is described. The means for solving this objective is a composition for forming a topcoat layer, comprising a solvent and a fullerene derivative having a hydrophilic group; and also a method of forming a pattern by casting the above composition on a resist surface and then by subjecting it to exposure and development.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: November 7, 2017
    Assignee: AZ Electronic Material (Luxembourg) S.ár.l.
    Inventors: Xiaowei Wang, Masato Suzuki, Tetsuo Okayasu, Georg Pawlowski
  • Patent number: 9773520
    Abstract: The embodiments disclose a method of using a trimmed imprinted resist and chemical contrast pattern to guide a directed self-assembly (DSA) of a predetermined lamellar block copolymer (BCP), creating chromium (Cr) lamellar guiding lines using the BCP and DSA in a dry Cr lift-off process and etching the Cr lamellar guiding line patterns into a substrate to fabricate the imprint template.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: September 26, 2017
    Assignee: Seagate Technology LLC
    Inventors: XiaoMin Yang, Shuaigang Xiao, Yautzong Hsu, HongYing Wang, Kim Y. Lee
  • Patent number: 9754761
    Abstract: An inspection tool includes a controller that is configured to generate a scan pattern for an electron beam to image areas of interest on the wafer. The scan pattern minimizes dwell time of the electron beam on the surface of the wafer between the areas of interest. At least one stage speed and at least one raster pattern can be selected based on the areas of interest. The controller sends instructions to electron beam optics to direct the electron beam at the areas of interest on the surface of the wafer using the scan pattern.
    Type: Grant
    Filed: May 23, 2016
    Date of Patent: September 5, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Hong Xiao, Christopher Maher
  • Patent number: 9726983
    Abstract: The present disclosure provides a method that includes forming a first patternable material layer on a substrate; forming a second patternable material layer over the first patternable material layer; and performing a charged particle beam lithography exposure process to the first patternable material layer and the second patternable material layer, thereby forming a first latent feature in the first patternable material layer.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: August 8, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 9715169
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which a desired substrate pattern for a substrate is input. A plurality of charged particle beam shots is then determined which will form a reticle pattern on a reticle, where the reticle pattern will produce a substrate pattern on the substrate using an optical lithography process, wherein the substrate pattern is within a predetermined tolerance of the desired substrate pattern. A similar method and a similar system for forming a pattern on a reticle are also disclosed.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: July 25, 2017
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 9694545
    Abstract: A purge station assembly for use in an additive manufacturing system, which includes a purge station having a base bracket, a slide mount slidably engaged with the base bracket, and a contact head configured to clean a nozzle tip of a print head. The purge station assembly also includes a mechanism, such as a cable line, operably attached to the slide mount that allows an operator to mechanically move the slide mount relative to the base bracket from a location that is remote from the purge station.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: July 4, 2017
    Assignee: Stratasys, Inc.
    Inventors: Robert Skubic, Logan R. Kiene, Joel E. Farley, Benjamin L. Braton, James Flannigan, Joel Ostby
  • Patent number: 9691585
    Abstract: A multi charged particle beam writing method includes, shifting a writing position of each corresponding beam to a next writing position by performing another beam deflection of multi charged particle beams, in addition to the beam deflection for a tracking control, while continuing the beam deflection for the tracking control after the maximum writing time has passed; emitting the each corresponding beam in the “on” state to the next writing position having been shifted of the each corresponding beam, during a corresponding writing time while continuing the tracking control; and returning a tracking position such that a next tracking start position is a former tracking start position where the tracking control was started, by resetting the beam deflection for the tracking control after emitting the each corresponding beam to the next writing position having been shifted at least once of the each corresponding beam while continuing the tracking control.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: June 27, 2017
    Assignee: NuFlare Technology, Inc.
    Inventors: Hiroshi Matsumoto, Munehiro Ogasawara, Ryoichi Yoshikawa
  • Patent number: 9678434
    Abstract: Lithography methods disclosed herein accommodate shrinking pattern dimensions. An exemplary method includes receiving a pattern to be transferred to a workpiece by a pattern generator. The pattern generator is divided into a first segment set and a second segment set based on the pattern, such that a collective exposure dose from the first segment set and the second segment set satisfies an exposure dose specified by the pattern. The first segment set is offset from the second segment set in a first direction, and segments in the first segment set and segments in the second segment set are offset from each other in a second direction different than the first direction. The method further includes exposing the workpiece according to the first segment set and the second segment set.
    Type: Grant
    Filed: December 22, 2016
    Date of Patent: June 13, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Burn Jeng Lin, Jaw-Jung Shin, Pei-Yi Liu, Shy-Jay Lin
  • Patent number: 9678441
    Abstract: In a method for generating, with a computer, a pattern of a mask, a pattern on an object plane of a projection optical system is set, shifted plural pupil functions are generated, a matrix containing the generated plural pupil functions is defined, an image of the pattern on the object plane is calculated by generating a vector obtained by transposing and complex-conjugating a vector containing, as components, values of the pupil functions at origin coordinates on a pupil plane from among components of the matrix, and performing convolution integral between the pattern on the object plane and a Fourier transform of a product of the vector and the matrix, an assist pattern for the pattern on the object plane is generated using the calculated image, and a pattern of the mask including the pattern on the object plane and the assist pattern is generated.
    Type: Grant
    Filed: November 10, 2014
    Date of Patent: June 13, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kenji Yamazoe, Ryo Nakayama, Hiroyuki Ishii
  • Patent number: 9653306
    Abstract: The present invention is directed to a method for forming a crystalline cobalt silicide film, comprising the steps of: applying to a surface made of silicon a composition obtained by mixing a compound represented by the following formula (1A) or (1B): SinX2n+2??(1A) SimX2m??(1B) wherein each X in the formulas (1A) and (1B) is a hydrogen atom or a halogen atom, n is an integer of 1 to 10, and m is an integer of 3 to 10, or a polymer thereof with a zero-valent cobalt complex to form a coating film; heating the coated film at 550 to 900° C. so as to form a two-layer film which is composed of a first layer made of a crystalline cobalt silicide on the surface made of silicon and a second layer containing silicon atoms, oxygen atoms, carbon atoms and cobalt atoms on the first layer; and removing the second layer of the two-layer film.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 16, 2017
    Assignees: JAPAN SCIENCE AND TECHNOLOGY AGENCY, JSR CORPORATION
    Inventors: Tatsuya Shimoda, Yasuo Matsuki, Ryo Kawajiri
  • Patent number: 9632409
    Abstract: The present disclosure relates to novel fullerene derivatives, positive and negative photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for high speed, fine pattern processing using, for example, ultraviolet radiation, extreme ultraviolet radiation, beyond extreme ultraviolet radiation, X-rays, electron beam and other charged particle rays.
    Type: Grant
    Filed: May 21, 2014
    Date of Patent: April 25, 2017
    Assignee: Irresistible Materials LTD
    Inventors: Alex Phillip Graham Robinson, Richard Edward Palmer, Andreas Frommhold, Dongxu Yang
  • Patent number: 9625815
    Abstract: Self-aligned via and plug patterning for back end of line (BEOL) interconnects are described. In an example, a structure for directed self-assembly includes a substrate and a block co-polymer structure disposed above the substrate. The block co-polymer structure has a polystyrene (PS) component and a polymethyl methacrylate (PMMA) component. One of the PS component or the PMMA component is photosensitive.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: April 18, 2017
    Assignee: Intel Corporation
    Inventors: Paul A. Nyhus, Eungnak Han, Swaminathan Sivakumar, Ernisse S. Putna
  • Patent number: 9594862
    Abstract: The present disclosure provides one embodiment of an IC method that includes receiving an IC design layout, which has a plurality of main features and a plurality of space blocks. The IC method also includes calculating an optimized block dummy density ratio r0 to optimize an uniformity of pattern density (UPD), determining a target block dummy density ratio R, determining size, pitch and type of a non-printable dummy feature, generating a pattern for non-printable dummy features and adding the non-printable dummy features in the IC design layout.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jyuh-Fuh Lin, Cheng-Hung Chen, Pei-Yi Liu, Wen-Chuan Wang, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 9574104
    Abstract: The present invention relates to novel copolymers containing cross-linkable and graft-able moieties, novel compositions comprised of these novel copolymers and a solvent, and methods for using these novel compositions to form neutral layer films which are both cross-linked and grafted on the substrate which are used in processes for aligning microdomains of block copolymers (BCP) on this neutral layer coated substrate such as self-assembly and directed self-assembly.
    Type: Grant
    Filed: October 16, 2015
    Date of Patent: February 21, 2017
    Assignee: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: JiHoon Kim, Jian Yin, Hengpeng Wu, Jianhui Shan, Guanyang Lin
  • Patent number: 9568827
    Abstract: An object of the present invention is to provide a fabrication method for pattern-formed structure having a smooth three-dimensional structure through a fewer processes. To achieve the object, the present invention provides a fabrication method for pattern-formed structure comprising: a dot modulation pattern forming process of binarizing a shape of a targeted three-dimensional structure to form a dot modulation pattern, a writing process of using the dot modulation pattern to write directly by a writer on a photosensitive resin layer formed on a substrate, and a developing process of developing the photosensitive resin layer after the writing to form a resin layer with three-dimensional structure, wherein the writing process is performed by a writing energy supplying method in which writing energy is supplied to the photosensitive resin layer by an area larger than a minimum dot area in the dot modulation pattern.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: February 14, 2017
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Makoto Abe, Masaaki Kurihara, Kazuaki Baba
  • Patent number: 9508948
    Abstract: Disclosed is an organic light emitting display device. The organic light emitting display device includes a substrate in which at least three pixel areas are defined, a first electrode and a hole transporting layer formed on the substrate, an light-emitting material layer formed on the hole transporting layer in each of the pixel areas, and an electron transporting layer and a second electrode formed on the light-emitting material layer. An optical assistant transporting layer is formed on the light-emitting material layer at a position corresponding to one of the pixel areas, and formed of an electron transporting material. Accordingly, provided can be a high-resolution organic light emitting display device that solves an imbalance of electric charges and has an excellent light output efficiency and an enhanced service life.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: November 29, 2016
    Assignee: LG Display Co., Ltd.
    Inventors: Se Hee Lee, Seok Jong Lee, Sun Kap Kwon, Ho Sung Kim
  • Patent number: 9466324
    Abstract: A method is disclosed that includes forming at least one substrate alignment mark and at least one lithography alignment mark in a substrate; forming a seed layer on the substrate; and forming a guide pattern and at least one guide pattern alignment mark in the seed layer, where the at least one guide pattern alignment mark is formed over the at least one substrate alignment mark. The method further includes determining an alignment error of the at least one guide pattern alignment mark relative to the at least one substrate alignment mark; and patterning features on at least one region of the substrate, where the features are positioned on the substrate based on the at least one lithography alignment mark and the alignment error.
    Type: Grant
    Filed: October 31, 2013
    Date of Patent: October 11, 2016
    Assignee: Seagate Technology LLC
    Inventors: HongYing Wang, Kim Y Lee, Yautzong Hsu, Nobuo Kurataka, Gennady Gauzner, Shuaigang Xiao
  • Patent number: 9465307
    Abstract: A cleaning method for an EUV light generation apparatus may include closing a connection portion so that a chamber interior and the interior of an exposure apparatus do not communicate when EUV light is not being generated, supplying an etchant gas for etching debris that has accumulated on a reflective surface of an optical element to the chamber interior in a state where the connection portion is closed, and exhausting the chamber interior using an exhaust apparatus while supplying the etchant gas.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: October 11, 2016
    Assignee: GIGAPHOTON INC.
    Inventors: Hakaru Mizoguchi, Shinji Nagai
  • Patent number: 9465298
    Abstract: A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method.
    Type: Grant
    Filed: December 17, 2015
    Date of Patent: October 11, 2016
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinichi Kanna
  • Patent number: 9431219
    Abstract: A method that uses both electron beam (e-beam) lithography and directed self-assembly (DSA) of block copolymers (BCPs) makes guiding lines with oxidized sidewalls for use in subsequent DSA of BCPs. A series of films is deposited on a substrate including a first cross-linked polymer mat layer, a layer of resist, an etch stop layer resistant to oxygen reactive-ion-etching, a second cross-linked polymer mat layer, and an e-beam resist. After patterning and etching the second mat layer, a BCP self-assembles onto the patterned second mat layer and one of the BCP components is removed. Then the second mat layer is etched, using the remaining BCP component as an etch mask. Additional etching steps then create guiding lines of the first mat layer with oxidized sidewalls. The resulting guiding lines have better quality and lower roughness than guiding lines made with just e-beam lithography.
    Type: Grant
    Filed: May 5, 2015
    Date of Patent: August 30, 2016
    Assignee: HGST Netherlands B.V.
    Inventors: Julia Cushen, Ricardo Ruiz, Lei Wan