Electron Beam Imaging Patents (Class 430/296)
  • Publication number: 20150086911
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes; a compound (A) which generates an acid by irradiation with actinic rays or radiation, wherein the acid is linked with a group represented by the following general formula (M) through covalent bonding. In the formula, Y1 and Y2 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an alkenyl group, an alkynyl group, an aryl group, or an acyl group. Z represents a hydrogen atom or a substituent.
    Type: Application
    Filed: December 4, 2014
    Publication date: March 26, 2015
    Applicant: FUJIFILM Corporation
    Inventors: Takuya TSURUTA, Tomotaka TSUCHIMURA, Tadeteru YATSUO
  • Publication number: 20150079521
    Abstract: Methods for making differentially pattern cured microstructured articles are disclosed, using a molding tool having a microstructured surface, a patterned irradiation to generate irradiate and non-irradiated regions in a radiation curable resin. Different combinations of molding tools and patterned irradiation provide numerous variants of differentially pattern cured microstructured articles without requiring costly modification of the molding tools.
    Type: Application
    Filed: May 23, 2012
    Publication date: March 19, 2015
    Inventors: Olester Benson, JR., Kathryn M. Spurgeon, Steven R. Vanhoose, Tadesse G. Nigatu
  • Publication number: 20150064620
    Abstract: A photoacid generator compound has formula (1) wherein n is zero or 1; and R1-R6 are each independently hydrogen, halogen, or unsubstituted or substituted C1-20 linear or branched alkyl, C1-20 cycloalkyl, C6-20 aryl, C3-20 heteroaryl, or an acid-generating group having the structure *?L-Z?M+] wherein L is an unsubstituted or substituted C1-50 divalent group; Z? is a monovalent anionic group; and M+ is an iodonium or sulfonium cation. Geminal R groups can combine to form a ring with the carbon to which they are attached, as long as no more than two such rings are formed. At least one of R1-R6 includes the acid-generating group or two germinal R groups combine to form the acid-generating group. Also described are a photoresist composition incorporating the photoacid generator compound, a coated substrate including a layer of the photoresist composition, and a method of forming an electronic device using a layer of the photoresist composition.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 5, 2015
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: Irvinder Kaur, Emad Aqad, Cong Liu, Cheng Bai Xu
  • Publication number: 20150064627
    Abstract: A patterning method may employ a particle beam, such as an electron beam (E-beam) and an exposure system that may include preparing an exposure layout defining a spatial distribution of an E-beam, performing an E-beam exposure process to a mask layer, based on the exposure layout, performing a developing process to the mask layer to form mask patterns including a first pattern. The first pattern may be a single solid pattern, and the exposure layout may include a first data associated with a plurality of E-beam conditions defined for a first region corresponding to the first pattern.
    Type: Application
    Filed: March 5, 2014
    Publication date: March 5, 2015
    Inventors: Yongseok Jung, SangHee Lee
  • Publication number: 20150064626
    Abstract: A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group and recurring units of tert-butyl or tert-amyl-substituted hydroxyphenyl methacrylate and having a weight average molecular weight of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure.
    Type: Application
    Filed: July 14, 2014
    Publication date: March 5, 2015
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20150064624
    Abstract: Oxime ester compounds of the formula I, II, III, IV or V, wherein Z is for example (formula A); Z1 for is NO2, unsubstituted or substituted C7-C20aroyl or unsubstituted or substituted C4-C20heteroaroyl; provided that at least one Z1 is other than NO2; Z2 is for example unsubstituted or substituted C7-C20aroyl; R1, R2, R3, R4, R5 and R6 for example are hydrogen, halogen, or unsubstituted or substituted C1-C20alkyl, unsubstituted or substituted C6-C20aryl, or unsubstituted or substituted C4-C20heteroaryl; R9, R10, R11, R12 and R13 for example are hydrogen, halogen, OR16, unsubstituted or substituted C1-C20alkyl; provided that R9 and R13 are neither hydrogen nor fluorine; R14 is for example unsubstituted or substituted C6-C20aryl or C3-C20heteroaryl Q is for example C6-C20arylene or C3-C20heteroarylene; Q1 is —C1-C20alkylene-CO—; Q2 is naphthoylene; Q3 is for example phenylene; L is for example O-alkylene-O—; R15 is for example hydrogen or C1-C20alkyl; R20 is for example hydrogen, or unsubstituted or substituted
    Type: Application
    Filed: May 6, 2013
    Publication date: March 5, 2015
    Applicant: BASF SE
    Inventors: Yuichi Nishimae, Hisatoshi Kura, Kazuhiko Kunimoto, Ryuhei Yamagami, Keita Tanaka
  • Publication number: 20150056554
    Abstract: Compounds of formula (I), (II), and (III), wherein R1, R2, R?2 and R??2 for example are C1-C20alkyl, provided that at least one of R1, R2, R?2 and R??2 carries a specified substituent; R3, R4, and R5 for example independently of one another are hydrogen or a defined substituent provided that at least one of R3, R4 or R5 is other than hydrogen or C1-C20alkyl; R6, R7, R8, R?7, RV, R?8, R?6, R?7, R??6 and R??7 for example independently of one another have one of the meanings as given for R3, R4, and R5; and R9 for example is C1-C20alkyl; exhibit an unexpectedly good performance in photopolymerization reactions.
    Type: Application
    Filed: November 7, 2014
    Publication date: February 26, 2015
    Applicant: CIBA CORPORATION
    Inventors: Akira Matsumoto, Junichi Tanabe, Hisatoshi Kura, Masaki Ohwa
  • Publication number: 20150056542
    Abstract: Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds.
    Type: Application
    Filed: August 22, 2013
    Publication date: February 26, 2015
    Inventors: Stephen T. Meyers, Douglas A. Keszler, Kai Jiang, Jeremy Anderson, Andrew Grenville
  • Publication number: 20150056545
    Abstract: A polymer compound has a carboxyl group and a siloxane chain and is obtained in the presence of an acid catalyst by condensation of at least; (I) a siloxane compound having phenol groups at both terminals, as shown by formula (1), (II) phenols shown by formula (2) and/or phenols shown by formula (3), and (III) one or more kinds of aldehydes and ketones shown by the following general formula (4). A polymer compound can be used suitably as a base resin of a chemically amplified negative resist composition with which the problem of delamination generated on metal wires, an electrode, and a substrate, can be improved, and with which a fine pattern can be formed without generating a scum and a footing profile in the pattern bottom and on the substrate, using a widely used aqueous 2.38% TMAH solution as a developer.
    Type: Application
    Filed: June 20, 2014
    Publication date: February 26, 2015
    Inventors: Hiroyuki URANO, Masashi IIO, Katsuya TAKEMURA, Takashi MIYAZAKI
  • Publication number: 20150050746
    Abstract: Methods for fabricating of high aspect ratio probes and deforming micropillars and nanopillars are described. Use of polymers in deforming nanopillars and micropillars is also described.
    Type: Application
    Filed: October 29, 2014
    Publication date: February 19, 2015
    Inventors: Michael D. HENRY, Andrew P. HOMYK, Axel SCHERER, Thomas A. TOMBRELLO, Sameer WALAVALKAR
  • Publication number: 20150044614
    Abstract: The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, the apparatus comprising a blanker array including a plurality of blankers and configured to individually blank the plurality of charged particle beams, a plurality of deflectors configured to individually deflect a plurality of charged particle beam groups constituting the plurality of charged particle beams, and a controller configured to individually control positions of the plurality of charged particle beam groups by the plurality of deflectors, and individually control blanking of the plurality of charged particle beams by the blanker array, based on information of a region on the substrate where a shot region exists.
    Type: Application
    Filed: July 28, 2014
    Publication date: February 12, 2015
    Inventors: Koichi SENTOKU, Masato MURAKI
  • Publication number: 20150044615
    Abstract: A method generates drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate. The method includes: a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from target position thereof; and a generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams.
    Type: Application
    Filed: July 30, 2014
    Publication date: February 12, 2015
    Inventors: Kimitaka OZAWA, Isamu SETO
  • Patent number: 8951712
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer comprising recurring units derived from a styrene, indene, benzofuran or benzothiophene monomer having 1,1,1,3,3,3-hexafluoro-2-propanol, and recurring units derived from a styrene, vinylnaphthalene, indene, benzofuran, benzothiophene, stilbene, styrylnaphthalene or dinaphthylethylene monomer and an ether solvent.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: February 10, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8952367
    Abstract: Provided is an organic light emitting element having a high light emission efficiency and a low drive voltage. In the organic light emitting element including a positive electrode, a negative electrode and an organic compound layer disposed between the positive electrode and the negative electrode, the organic compound layer includes a thioxanthone compound represented by the following general formula [1].
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: February 10, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Jun Kamatani, Masanori Seki, Takeshi Sekiguchi, Yosuke Nishide, Akihito Saitoh
  • Patent number: 8945803
    Abstract: The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen, Cheng-Hung Chen, Shih-Chi Wang, Nian-Fuh Cheng, Chia-Chi Lin
  • Publication number: 20150030983
    Abstract: A resist top coat composition includes a polymer including a base resin having a repeating unit p of styrene having a 1,1,1,3,3,3-hexafluoro-2-propanol group and a repeating unit q of acenaphthylene having chemical formula 1. R is hydrogen, hydroxyl. R1 is hydrogen, hydroxyl, linear or branched C1-C10-alkyl, cycloalkyl, acyloxy, alkoxycarbonyl, carboxyl, —OC(?O)R2. R2 is linear or branched C1-C10-alkyl, cycloalkyl or fluorinated alkyl. m is 1 or 2. p and q are positive numbers satisfying the expressions 0<p<1.0 and 0<q<1.0, and 0<p+q?1.0.
    Type: Application
    Filed: July 28, 2014
    Publication date: January 29, 2015
    Inventors: Jun HATAKEYAMA, Hyun-Woo KIM
  • Patent number: 8937281
    Abstract: A method for examining a sample with a scanning charged particle beam imaging apparatus. First, an image area and a scan area are specified on a surface of the sample. Herein, the image area is entirely overlapped within the scan area. Next, the scan area is scanned by using a charged particle beam along a direction neither parallel nor perpendicular to an orientation of the scan area. It is possible that only a portion of the scan area overlapped with the image area is exposed to the charged particle beam. It also is possible that both the shape and the size of the image area are essentially similar with that of the scan area, such that the size of the area projected by the charged particle beam is almost equal to the size of the image area.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: January 20, 2015
    Assignee: Hermes Microvision, Inc.
    Inventors: Yan Zhao, Jack Jau, Wei Fang
  • Publication number: 20150017586
    Abstract: A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl or phenolic hydroxyl group substituted with an acid labile group and recurring units of hydroxyanthraquinone or hydroxy-2,3-dihydro-1,4-anthracenedione methacrylate, and having a Mw of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure.
    Type: Application
    Filed: July 3, 2014
    Publication date: January 15, 2015
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masayoshi Sagehashi
  • Publication number: 20150017571
    Abstract: Provided is an integrated circuit (IC) photo mask. The IC photo mask includes a main feature of the IC, the main feature having a plurality of sides, and a plurality of assist features, the assist features being spaced from each other and spaced from the main feature, wherein each one of the assist features is adjacent to one of the sides, each one of the assist features has an elongated shape along a direction, whereby extending the shape in the direction would intersect at least another one of the assist features and the assist features are sub-resolution correction features for correcting for optical proximity effect in a photolithography process.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 15, 2015
    Inventors: Chia-Cheng CHANG, Wei-Kuan Yu, Yen-Hsu Chu, Tsai-Ming Huang, Chin-Min Huang, Cherng-Shyan Tsay, Chien Wen Lai, Hua-Tai Lin
  • Publication number: 20150010858
    Abstract: There is provided a pattern forming method comprising (i) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive composition containing (A) a non-polymeric acid-decomposable compound having an aromatic ring and a molecular weight of 500 to 5,000 and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (ii) a step of exposing the film, and (iii) a step of performing development by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: September 26, 2014
    Publication date: January 8, 2015
    Applicant: FUJIFILM CORPORATION
    Inventor: Hidenori TAKAHASHI
  • Publication number: 20150010855
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive composition containing (?) a compound represented by the formula (?I) capable of generating an acid having a size of 200 ?3 or more in volume and (?) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and the formula (?I) is defined as herein, and a resist film formed using the actinic ray-sensitive or radiation-sensitive composition, a resist-coated mask blanks coated with the resist film, a resist pattern forming method comprising exposing the resist film and developing the exposed film, a photomask obtained by exposing and developing the resist-coated mask blanks, a method for manufacturing an electronic device, comprising the resist pattern forming method and an electronic device manufactured by the manufacturing method of an electronic device.
    Type: Application
    Filed: September 26, 2014
    Publication date: January 8, 2015
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomotaka TSUCHIMURA, Takuya TSURUTA, Takeshi INASAKI, Koutarou TAKAHASHI
  • Patent number: 8927932
    Abstract: A scanning transmission electron microscope for imaging a specimen includes an electron beam source to generate an electron beam. Beam optics are provided to converge the electron beam. A stage is provided to hold a specimen in the path of the electron beam. A beam scanner scans the electron beam across the specimen. A controller may define one or more scanning areas corresponding to locations of the specimen, and control one or more of the beam scanner and stage to selectively scan the electron beam in the scanning areas. A detector is provided to detect electrons transmitted through the specimen to generate an image. The controller may generate a sub-image for each of the scanning areas, and stitch together the sub-images for the scanning areas to generate a stitched-together image. The controller may also analyze the stitched-together image to determine information regarding the specimen.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: January 6, 2015
    Assignee: Mochii, Inc.
    Inventors: Christopher Su-Yan Own, William Andregg, Michael Lee Andregg
  • Publication number: 20140377706
    Abstract: An aqueous solution containing 0.1-20 wt % of a substituted choline or thiocholine hydroxide is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed resist film in an ammonium hydroxide-containing aqueous solution.
    Type: Application
    Filed: May 27, 2014
    Publication date: December 25, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masaki Ohashi
  • Patent number: 8916315
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of circular or nearly-circular shaped beam shots can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular shaped beam shots is also disclosed.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: December 23, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Publication number: 20140370442
    Abstract: Block copolymers and methods of making patterns of organic thin films using the block copolymers. The block copolymers comprise a fluorinated block. Thin films of the block copolymers have microdomains that can be aligned. As a result the patterns of organic thin films having smaller dimensions than the pattern of incident deep-UV or e-beam radiation can be formed. For example, the block copolymers can be used in lithography, filtration, and templating applications.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 18, 2014
    Applicant: CORNELL UNIVERSITY
    Inventors: Christopher K. Ober, Rina Maeda, Nam-ho You, Teruaki Hayakawa
  • Publication number: 20140370425
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a resin that when acted on by an acid, is decomposed to thereby increase its alkali solubility, which resin comprises at least either any of repeating units (I) of general formula (I) below or any of repeating units (II) of general formula (II) below, (B) an onium salt acid generator that when exposed to actinic rays or radiation, generates a sulfonic acid whose volume ranges from 250 ?3 to less than 350 ?3, and (C) an onium salt acid generator that when exposed to actinic rays or radiation, generates a sulfonic acid whose volume is 400 ?3 or greater.
    Type: Application
    Filed: August 29, 2014
    Publication date: December 18, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Koutarou TAKAHASHI
  • Publication number: 20140370441
    Abstract: An aqueous solution containing 0.1-20 wt % of a cyclic ammonium hydroxide is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed resist film in a cyclic ammonium hydroxide-containing aqueous solution.
    Type: Application
    Filed: May 27, 2014
    Publication date: December 18, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masaki Ohashi
  • Patent number: 8911929
    Abstract: An aqueous solution containing 0.1-10 wt % of a guanidine is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a coating, baking, exposing the coating to high-energy radiation, and developing the exposed coating in a guanidine-containing aqueous solution.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: December 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8912489
    Abstract: A process is provided for the removal of defects, for example, micro-bridging defects during device fabrication. In one aspect, a method includes: obtaining a wafer after lithography processing and exposing the wafer to at least one electron beam. In another aspect, a system includes: selecting a substrate with micro-bridging defects after the substrate undergoes lithography processing; preparing the substrate for exposure to at least one electron beam; and exposing the substrate to the at least one electron beam.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: December 16, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Yayi Wei
  • Patent number: 8911852
    Abstract: The present invention relates to a structured monolayer that is composed of low-molecular aromatics and fully cross-linked in the lateral direction, the monolayer having a pattern of functional groups on one of the two surfaces, and to a method for preparing such a structured monolayer, as well as to the use thereof.
    Type: Grant
    Filed: September 10, 2009
    Date of Patent: December 16, 2014
    Assignee: Universitat Bielefeld
    Inventors: Armin Gölzhäuser, André Beyer, Adelheid Godt
  • Publication number: 20140356791
    Abstract: A method for making nanostructure is provided. The method includes following steps. A conductive layer including a graphene film is applied on an insulating substrate. A resist layer is placed on the conductive layer. A number of openings are formed by patterning the resist layer via electron beam lithography. A part of the conductive layer is exposed to form a first exposed portion through the plurality of openings. The first exposed portion of the conductive layer is removed to expose a part of the insulting substrate to form a second exposed portion. A preform layer is introduced on the second exposed portion of the insulating substrate. Remaining resist layer and remaining conductive layer are eliminated. A number of nanostructures are formed.
    Type: Application
    Filed: April 2, 2014
    Publication date: December 4, 2014
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., TSINGHUA UNIVERSITY
    Inventors: JUN-KU LIU, MENG-XIN REN, LI-HUI ZHANG, MO CHEN, QUN-QING LI, SHOU-SHAN FAN
  • Publication number: 20140356785
    Abstract: New photoresist compositions are provided that comprise a carbamate compound that comprises 1) a carbamate group and 2) an ester group. Preferred photoresists of the invention may comprise a resin with acid-labile groups; an acid generator compound; and a carbamate compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Inventors: William Williams, III, Cong Liu, Cheng-Bai Xu
  • Patent number: 8900778
    Abstract: A method for forming patterns on a surface using charged particle beam lithography is disclosed, in which a stencil is provided comprising first and second apertures, where circular or nearly-circular patterns in a first plurality of sizes are formed on the surface using the first aperture by varying shot dosage, and where circular or nearly-circular patterns in a second plurality of sizes are formed on the surface using the second aperture by varying shot dosage. A similar method for fracturing or mask data preparation is also disclosed. A stencil for charged particle beam lithography is also disclosed, where the stencil comprises first aperture and second apertures capable of forming, in one shot, patterns in a first and a second range of sizes on a surface by varying the shot dosage, where the first range of sizes is discontinuous with the second range of sizes.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: December 2, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Publication number: 20140348200
    Abstract: Volumetric Bragg grating devices that operate in middle-infrared region of the spectrum and methods for producing such devices are described. Such a Volumetric Bragg grating device can be produced by forming a plurality of color centers within an alkali-halide crystal and selectively removing a subset of the plurality of color centers to produce variations in refractive index of the alkali-halide crystal in the middle-infrared spectral region and to thereby produce a volumetric Bragg grating that operates in middle-infrared spectral range.
    Type: Application
    Filed: January 14, 2013
    Publication date: November 27, 2014
    Inventors: Anitha Arumugam, Dmitry V. Martyshkin, Vladimir V. Fedorov, David J. Hilton, Sergey B. Mirov
  • Publication number: 20140349221
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive resin composition containing a compound capable of generating an acid upon irradiation with an actinic ray or radiation, represented by the formula (Z1), and the formula (Z1) is defined as herein, and a resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition, a pattern forming method comprising a step of forming a film by using the actinic ray-sensitive or radiation-sensitive resin composition, a step of exposing the film, and a step of developing the exposed film, a method for manufacturing an electronic device, comprising the pattern forming method, and an electronic device manufactured by the method for manufacturing an electronic device.
    Type: Application
    Filed: August 13, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Tomotaka TSUCHIMURA
  • Publication number: 20140349235
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, the apparatus including an aperture array member in which a plurality of first apertures, for generating the plurality of charged particle beams, is formed, and a generating device configured to individually generate electric potentials in a plurality of regions of the aperture array member, wherein each of the plurality of regions corresponds to at least one of the plurality of first apertures.
    Type: Application
    Filed: May 22, 2014
    Publication date: November 27, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Keisuke NAKAMURA
  • Publication number: 20140349224
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing (A) a resin containing an acid-decomposable repeating unit and being capable of decreasing the solubility for an organic solvent-containing developer by the action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a compound capable of decomposing by the action of an acid to generate an acid, and (D) a solvent; (2) a step of exposing the film by using an actinic ray or radiation, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: August 5, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Tomotaka TSUCHIMURA, Takeshi KAWABATA, Takuya TSURUTA
  • Publication number: 20140349223
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including a compound that when exposed to actinic rays or radiation, generates any of acids of general formula (I) below.
    Type: Application
    Filed: August 5, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi KAWABATA, Hideaki TSUBAKI, Hiroo TAKIZAWA
  • Patent number: 8895923
    Abstract: A system for performing sample probing. The system including an topography microscope configured to receive three-dimensional coordinates for a sample based on at least three fiducial marks; receive the sample mounted in a holder; and navigate to at least a location on the sample based on the at least three fiducial marks and the three-dimensional coordinates.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: November 25, 2014
    Assignee: DCG Systems, Inc.
    Inventors: Vladimir A. Ukraintsev, Richard Stallcup, Sergiy Pryadkin, Mike Berkmyre, John Sanders
  • Patent number: 8895212
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: November 25, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Publication number: 20140342274
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Application
    Filed: August 4, 2014
    Publication date: November 20, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Publication number: 20140342272
    Abstract: The present disclosure provides a method that includes forming a first resist layer on a substrate; forming a second resist layer over the first resist layer; and performing an electron-beam (e-beam) lithography exposure process to the first resist layer and the second resist layer, thereby forming a first latent feature in the first resist layer and a second latent feature in the second resist layer.
    Type: Application
    Filed: September 18, 2013
    Publication date: November 20, 2014
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20140342275
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition contains a compound (P) that contains at least one phenolic hydroxyl group and at least one group in which a hydrogen atom of a phenolic hydroxyl group has been substituted with a group represented by the following General Formula (1) (in the formula, M11 represents a single bond or a divalent linking group; Q11 represents an alkyl group, a cycloalkyl group, or an aryl group).
    Type: Application
    Filed: August 5, 2014
    Publication date: November 20, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Takeshi INASAKI, Takeshi KAWABATA, Tomotaka TSUCHIMURA, Toru TSUCHIHASHI
  • Publication number: 20140342564
    Abstract: The present disclosure provides one embodiment of a mask for a lithography exposure process. The mask includes a mask substrate; a first mask material layer patterned to have a first plurality of openings that define a first layer pattern; and a second mask material layer patterned to have a second plurality of openings that define a second layer pattern.
    Type: Application
    Filed: September 18, 2013
    Publication date: November 20, 2014
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 8889339
    Abstract: A resist pattern forming method contains: in the following order, (1) forming a resist film by using a negative chemical amplification resist composition containing (A) a polymer compound having a repeating unit represented by formula (1) as defined in the specification, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a crosslinking agent capable of crosslinking the polymer compound (A) by an action of an acid; (2) exposing the resist film, so as to form an exposed resist film; and (4) developing the exposed resist film by using a developer containing an organic solvent.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: November 18, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koutarou Takahashi, Tomotaka Tsuchimura
  • Patent number: 8883375
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: November 11, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8883393
    Abstract: The invention relates to an imaging element and a method of using the imaging element to form a recording element. The imaging element includes a composition sensitive to actinic radiation at a first wavelength and a photoluminescent tag that is responsive to radiation at a second wavelength different from the first wavelength. The photoluminescent tag can be used to authenticate the identity of the element, provide information about the element, and/or to establish one or more conditions in a device used to prepare the recording element from the imaging element.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: November 11, 2014
    Assignee: E I du Pont de Nemours and Company
    Inventor: Anandkumar R. Kannurpatti
  • Patent number: 8877472
    Abstract: Corn or soy plant biomass is electron beam irradiation processed and saccharified to produce sugars. The sugars are then converted to products such as alcohols, organic acids, hydrocarbons, hydrogen, proteins, carbohydrates, fats, oils, lipids, amino acids, vitamins, and mixtures thereof.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: November 4, 2014
    Assignee: Xyleco, Inc.
    Inventor: Marshall Medoff
  • Patent number: 8877410
    Abstract: The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: November 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chen, Pei-Shiang Chen, Shih-Chi Wang, Jeng-Horng Chen
  • Patent number: 8877467
    Abstract: Biomass feedstocks (e.g., plant biomass, animal biomass, and municipal waste biomass) are processed to produce useful products, such as fuels. For example, systems are described that can use feedstock materials, such as cellulosic and/or lignocellulosic materials and/or starchy materials, to produce a product or intermediate, e.g., energy, a food, a fuel, or a material.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: November 4, 2014
    Assignee: Xyleco, Inc.
    Inventors: Marshall Medoff, Thomas Craig Masterman