Including Material Deposition Patents (Class 430/324)
  • Patent number: 6720114
    Abstract: Disclosed are methods of forming alternating phase shift circuitry fabrication masks, methods of forming circuitry fabrication masks having a subtractive alternating phase shift region, and alternating phase shift masks. In one implementation, a method of forming an alternating phase shift circuitry fabrication mask incudes combining circuitry pattern data biasing and wet undercut etching of light transmissive substrate material adjacent phase shift regions of the mask in fabricating the mask. In one implementation, a method of forming an alternating phase shift circuitry fabrication mask includes combining circuitry pattern data biasing and wet undercut etching of light transmissive substrate material adjacent phase shift regions of the mask effective to achieve a first data biased pattern when using the mask to fabricate circuitry of a desired circuit pattern on another substrate.
    Type: Grant
    Filed: August 21, 2000
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Amy A. Winder, Paul Chipman
  • Publication number: 20040067446
    Abstract: The invention provides a method for making ink feed vias in semiconductor silicon substrate chips for an ink jet printhead and ink jet printheads containing silicon chips made by the method. The method includes applying a first photoresist material to a first surface side of the chip. The first photoresist material is patterned and developed to define at least one ink via location therein. An etch stop material is applied to a second surface side of the chip. At least one ink via is anisotropically etched with a dry etch process through the thickness of the silicon chip up to the etch stop layer from the first surface side of the chip. As opposed to conventional ink via formation techniques, the method significantly improves the throughput of silicon chip and reduces losses due to chip breakage and cracking. The resulting chips are more reliable for long term printhead use.
    Type: Application
    Filed: October 2, 2002
    Publication date: April 8, 2004
    Inventors: Eric Spencer Hall, Shauna Marie Leis, Andrew Lee McNees, James Michael Mrvos, James Harold Powers, Carl Edmond Sullivan
  • Patent number: 6716515
    Abstract: A castellation technique for improved lift-off of deposited thin film on photoresist in thin-film device processing of particular utility in the production of magnetic data transducers and recording heads. By correctly designing the edge boundary of a photoresist structure, enhanced regions of low resist edge bombardment and low deposit penetration may be achieved. These enhanced regions enable the lift-off of extra thick deposited regions that would not be otherwise achievable through the use of conventional techniques with and without castellation.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: April 6, 2004
    Assignee: Quantum Corporation
    Inventors: Vijay K. Basra, Lawrence G. Neumann
  • Publication number: 20040063040
    Abstract: A layer for use in a modular assemblage for supporting ICs is formed with metal contacts for assembly by making a sandwich of metal interconnect members between two layers of dielectric; drilling holes through the dielectric, stopping on a metal layer bonded to the bottom surface of the module; forming blind holes stopping on the interconnect members; and plating metal through the volume of the via, both full and blind holes, thereby forming vertical and horizontal connections in a layer that be stacked to form complex interconnect assemblies.
    Type: Application
    Filed: October 1, 2002
    Publication date: April 1, 2004
    Applicant: International Business Machines Corporation
    Inventors: Frank D. Egitto, Voya Markovich, Thomas R. Miller, Douglas O. Powell, James R. Wilcox
  • Publication number: 20040062896
    Abstract: The present invention is directed to a photo-sensitive laminate film for use in making an image mask for etching an image on a substrate. In a first preferred embodiment, the photo-sensitive laminate film comprises a support sheet having a first surface and a second surface, a layer of polymer resin composition having photocrosslinkability, the layer of polymer resin composition having a first surface and a second surface, and a fractionally-releasable bonding layer located between the first surface of the support sheet and the second surface of the layer of polymer resin composition. Upon the creation of an image mask from the layer of polymer resin composition, the image mask is capable of being separated from the first surface of the support sheet such that at least a portion of the bonding layer located between the image mask and the first surface of the support sheet is released from the support sheet with the image mask, and the remaining portion of the bonding layer remains with the support sheet.
    Type: Application
    Filed: September 26, 2002
    Publication date: April 1, 2004
    Inventors: Terrence F. Picone, Steven C. Schaffer
  • Patent number: 6709803
    Abstract: After forming first catalyst cores on the surfaces of adhesive layers of an insulating substrate, a plating resist is patterned. The insulating substrate is treated with an aqueous solution containing an anionic surfactant. Then, the insulating substrate is soaked successively in a palladium—tin mixed colloid catalyst solution and an accelerator solution, whereby second catalyst cores are formed on the surface of the adhesive layer not covered with the plating resist. Thereafter, conductive circuits are formed by electroless copper plating. Due to the anionic surfactant, adsorption of the palladium—tin mixed colloid catalyst to the plating resist is suppressed, and the first catalyst cores promote the formation of second catalyst cores. By setting the concentration of the first catalyst cores to 4×10−8 atomic mol/cm2 or less, a fine conductive circuit with a line width/line space of 50 &mgr;m or less having a high electrical insulating property between circuit lines can be formed.
    Type: Grant
    Filed: February 20, 2002
    Date of Patent: March 23, 2004
    Assignee: NEC Toppan Circuit Solutions, Inc.
    Inventor: Sinichi Hotta
  • Publication number: 20040048171
    Abstract: In the method according to the invention (“lift-off method”) for producing a unit (I) which is to have a three-dimensional surface patterning on a base layer (3), in a first method step a photoresist is applied to the base layer (3) in order to produce a photoresist layer (9). In a second method step, the photoresist layer (9) is subjected to masked exposure (13) which is matched to a predetermined final surface patterning. In a third method step, parts of the photoresist layer (9) are removed by developing, so that an initial surface patterning which includes photoresist subregions (25) as sacrificial layer regions is obtained. In a fourth method step, a coating (29, 31) which covers the initial surface patterning which has now been obtained is applied, in particular by sputtering, preferably as an alternating layer system. In a fifth method step, energy is applied to the initial surface patterning in order to destabilize the sacrificial layer regions (25).
    Type: Application
    Filed: May 5, 2003
    Publication date: March 11, 2004
    Inventors: Patrick Grabher, Claus Heine-Kempkens, Roger Bischofberger
  • Patent number: 6703190
    Abstract: A method for creating negative resist structures is described. In the method, a chemically fortified resist is applied to a substrate, dried, irradiated with light, x-ray, electron or ion beams, heated, developed using a aqueous-alkaline developer solution and siliconized from a liquid phase. The resist contains the following constituent: a polymer, whose polarity is modified by acidic action and which contains carboxylic acid anhydride groups, preferably in latent form; a compound which releases an acid as a result of thermal treatment; a photoreactive compound, from which a base is created during the irradiation with light, x-ray, electron or ion beams; a solvent; and optionally one or more additives.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: March 9, 2004
    Assignee: Infineon Technologies AG
    Inventors: Klaus Elian, Stefan Hien, Ernst-Christian Richter, Michael Sebald
  • Patent number: 6703187
    Abstract: An improved method for forming a self-aligned twin well structure for use in a CMOS semiconductor device including providing a substrate for forming a twin well structure therein; forming an implant masking layer over the substrate to include a process surface said masking layer patterned to expose a first portion of the process surface for implanting ions; subjecting the first portion of the process surface to a first ion implantation process to form a first doped region included in the substrate; forming an implant blocking layer including a material that is selectively etchable to the implant masking layer over the first portion of the process surface; removing the implant masking layer to expose a second portion of the process surface; and, subjecting the second portion of the process surface to a second ion implantation process to form a second doped region disposed adjacent to the first doped region.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: March 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: Yi-Ming Sheu, Fu-Liang Yang
  • Publication number: 20040043335
    Abstract: A method of constructing an air bearing on a single slider used as a support for a magnetic recording head is described. A recessed surface of the air bearing is constructed by: applying a polymerization initiator (preferably azomonochlorsilane), forming a pattern in the initiator, forming a polymer brush, and ion milling. The application of the polymerization initiator may be from solution or by stamping.
    Type: Application
    Filed: August 27, 2002
    Publication date: March 4, 2004
    Inventors: Rainer Klaus Krause, Markus Schmidt, Stefan Seifried, Wolfgang Egert, Eva Urlaub, Ashok Lahiri
  • Publication number: 20040043336
    Abstract: A manufacturing line for manufacturing a print belt comprising a support-forming strip and a photopolymerized resin forming printing characters, the line comprises spreader means adapted to spread the resin on the strip, photopolymerizer means adapted to solidify that portion of the resin that is to correspond to the printing characters, remover means adapted to remove the non-photopolymerized resin from the strip, and drive means adapted to drive the strip in translation in such a manner that each of its transverse sections passes in succession in register with the spreader means, the photopolymerizer means, and the remover means.
    Type: Application
    Filed: June 6, 2003
    Publication date: March 4, 2004
    Inventors: Arnaud De Ponnat, Mickael Bernou, Damien Leleu, Faye Renaud
  • Publication number: 20040038138
    Abstract: The color filter of the present invention comprises ink films colored by ink drops 140 inside openings 111 enclosed by banks 112 demarcated and formed on a substrate 110. The banks 112 have a laminar structure comprising a metal film 120 and a photosensitive organic thin film 130 from the substrate 110 side. The inks should contain a solvent having a high boiling point. The bank layer may also be configured so that the peripheral edges of the bottom surface thereof are positioned inside from the peripheral edges of the light blocking layers, so that the light blocking layers have exposed surfaces on the upper surface thereof where the bank layer is not superimposed. Thus color filters can be provided which exhibit outstanding contrast without coloring irregularities.
    Type: Application
    Filed: August 14, 2003
    Publication date: February 26, 2004
    Applicant: Seiko Epson Corporation
    Inventors: Hiroshi Kiguchi, Natsuo Fujimori, Satoru Katagami, Masaharu Shimizu, Keiji Takizawa, Tadaaki Kuno
  • Patent number: 6696223
    Abstract: A method for generating a photoresist pattern on top of an object that includes a layer of material that is opaque to light of a predetermined wavelength. The object is first covered with a layer of photoresist material. The layer of photoresist material is then irradiated with light of the predetermined wavelength from a position under the object such that the object casts a shadow into the layer of photoresist. The photoresist material is then developed to generate the photoresist pattern. The layer of photoresist material is irradiated from below the object by providing a reflecting surface below the object and a light source above the object. A mask is positioned between the object and the light source such that the mask casts a shadow that covers the object and a portion of the area surrounding the object.
    Type: Grant
    Filed: August 16, 2001
    Date of Patent: February 24, 2004
    Assignee: Agilent Technologies, Inc.
    Inventors: Tetsuya Hidaka, Yawara Kaneko
  • Publication number: 20040033515
    Abstract: The present invention relates to a device for interfacing nanofluidic and microfluidic components suitable for use in performing high throughput macromolecular analysis. Diffraction gradient lithography (DGL) is used to form a gradient interface between a microfluidic area and a nanofluidic area. The gradient interface area reduces the local entropic barrier to nanochannels formed in the nanofluidic area. In one embodiment, the gradient interface area is formed of lateral spatial gradient structures for narrowing the cross section of a value from the micron to the nanometer length scale. In another embodiment, the gradient interface area is formed of a vertical sloped gradient structure. Additionally, the gradient structure can provide both a lateral and vertical gradient.
    Type: Application
    Filed: April 16, 2003
    Publication date: February 19, 2004
    Inventors: Han Cao, Jonas O. Tegenfeldt, Stephen Chou, Robert H. Austin
  • Publication number: 20040033446
    Abstract: Disclosed is a method of attaching an optical waveguide component to a printed circuit board, which is a double-sided or a multilayer printed circuit board, through pre-bonding and main-bonding by use of an adhesive tape. Prior to being attached to the printed circuit board, the optical waveguide component is preferably subjected to a plasma surface treatment to give a surface roughness thereto. The present method is advantageous in that the optical waveguide component can be attached to the printed circuit board with improved flatness and precise alignment without causing chemical or thermal damage to the optical waveguide component.
    Type: Application
    Filed: April 16, 2003
    Publication date: February 19, 2004
    Inventors: Young-Woo Kim, Young-Sang Cho, Dek-Gin Yang, Kyu-Hyok Yim
  • Publication number: 20040032667
    Abstract: A surface microstructure is superimposed on the surface of a replication mould such as an injection moulding tool insert by laser interference exposure of a mask pattern and etching or electroplating the additional microstructure. The technique enables the post-processing of planar and non-planar replication moulds with additional microstructure to improve the functionality and value of the moulded components. A major area of application is an anti-reflection surface for injection moulded polymer optical components, achieved by the superposition of submicrometer anti-reflection grating structure onto injection moulding tool inserts.
    Type: Application
    Filed: August 18, 2003
    Publication date: February 19, 2004
    Inventors: Michael Gale, Juergen Sochtig, Markus Rossi
  • Publication number: 20040029053
    Abstract: The same mask pattern is used as an etching mask in defining the horizontal location of micro-machined (etched) features at the substrate surface of an optical device relative to the waveguide cores also at the substrate surface of the optical device. Exemplary micro-machined features include grooves, recesses and inclined surfaces formed in the substrate surface for any of a variety of purposes. The accurate horizontal positioning of these features relative to the integrated waveguide cores fosters accurate optical coupling between the integrated waveguide cores and external and/or internal components.
    Type: Application
    Filed: May 23, 2001
    Publication date: February 12, 2004
    Inventor: Dan A. Steinberg
  • Patent number: 6686122
    Abstract: Process for the production of a resist coating, in which (a) a substrate is coated with a resist composition which comprises at least one component which absorbs radiation in the near infrared region with warming of the coating; and (b) the resist composition or a composition derived therefrom and obtained during the process is subjected at least once during the process to thermal treatment with the aid of radiation in the near infrared region.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: February 3, 2004
    Assignee: Vantico Inc.
    Inventor: Frans Setiabudi
  • Publication number: 20040018022
    Abstract: Multiple Bragg gratings are fabricated in a single planar lightwave circuit platform. The gratings have nominally identical grating spacing but different center wavelengths, which are produced using controlled photolithographic processes and/or controlled doping to control the effective refractive index of the gratings. The gratings may be spaced closer together than the height of the UV light pattern used to write the gratings.
    Type: Application
    Filed: July 24, 2002
    Publication date: January 29, 2004
    Inventors: Anders Grunnet-Jepsen, Alan E. Johnson, John N. Sweetser
  • Publication number: 20040009437
    Abstract: A method of forming a photoresist includes forming a photoresist and patterning/developing it according to conventional methods. The photoresist is then subjected to ion implantation. The ions may be selected from the group consisting of argon, boron, boron fluoride, arsenic, phosphorous and nitrogen. The ion implantation during processing of the photoresist provides a stabilized photoresist and helps reduce CD loss, loss of the photoresist and formation of pin holes and striations.
    Type: Application
    Filed: July 10, 2002
    Publication date: January 15, 2004
    Inventors: Jun Sung Chun, Mehran Sedigh, Christ Ford
  • Publication number: 20040004765
    Abstract: A method of making an array of corner cubes includes the steps of: preparing a substrate, at least a surface portion of which is made of a cubic crystalline material and which has a surface that is substantially parallel to {111} planes of the crystalline material; and patterning the surface of the substrate such that a plurality of solid shape elements defines a predetermined pattern on the surface of the substrate. Each of the solid shape elements is defined by a number of concave portions, a number of convex portions or a combination of concave and convex portions. The method further includes the step of supplying a first active species, including an element that is contained in the crystalline material, onto the substrate on which the solid shape elements have been formed.
    Type: Application
    Filed: June 26, 2003
    Publication date: January 8, 2004
    Inventors: Ichiro Ihara, Kiyoshi Minoura
  • Publication number: 20040003638
    Abstract: A method for impressing holographic images or holograms in the surface of sporting and fitness products such as ball bats and bicycle frames. The surfaces of metal shims and print rolls bearing holograms are hardened as by coating them with thin amorphous diamond coatings or diamond like coatings so the holograms can be embossed into many thousands of metal objects with clarity and consistency.
    Type: Application
    Filed: May 6, 2003
    Publication date: January 8, 2004
    Inventors: Mark W. Schaefer, Thomas L. Levendusky, Simon Sheu, Robert B. Larsen, Neville C. Whittle
  • Patent number: 6673524
    Abstract: An exemplary method of forming an attenuating extreme ultraviolet (EUV) phase-shifting mask is described. This method can include providing a multi-layer mirror over an integrated circuit substrate or a mask blank, providing a buffer layer over the multi-layer mirror, providing a dual element material layer over the buffer layer, and selectively growing features on the integrated circuit substrate or mask blank using a photon assisted chemical vapor deposition (CVD) process when depositing the dual element layer.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: January 6, 2004
    Inventors: Kouros Ghandehari, Bruno LaFontaine, Bhanwar Singh
  • Patent number: 6673520
    Abstract: A desired pattern is formed in a photoresist layer that overlies a semiconductor wafer using a reflective mask. This mask is formed by consecutively depositing a reflective layer, an absorber layer and an anti-reflective (ARC) layer. The ARC layer is patterned according to the desired pattern. The ARC layer is inspected to find areas in which the desired pattern is not achieved. The ARC layer is then repaired to achieve the desired pattern with the absorber layer protecting the reflective layer. The desired pattern is transferred to the absorber layer to reveal the reflective portion of mask. Radiation is reflected off the reflective mask to the semiconductor wafer to expose the photoresist layer overlying the semiconductor wafer with the desired pattern.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: January 6, 2004
    Assignee: Motorola, Inc.
    Inventors: Sang-in Han, Pawitter Mangat, James R. Wasson, Scott D. Hector
  • Patent number: 6670106
    Abstract: A pattern formation method includes: forming a photoresist layer of a positive type on a substrate; exposing to light and developing the photoresist layer using an inversion mask having an opening at a site where a pattern is desired to be formed finally, thereby forming an opening portion in the photoresist layer to expose the substrate; applying a non-photosensitive organic film on an entire surface of the resulting substrate, so that the non-photosensitive organic film is embedded in the opening portion; etching back an entire surface of the non-photosensitive organic film on the photoresist layer until the photoresist layer is exposed; and exposing to light and developing an entire surface of the photoresist layer to remove the photoresist layer, thereby obtaining the non-photosensitive organic film having the desired pattern.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: December 30, 2003
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Masayuki Fujio
  • Patent number: 6670104
    Abstract: After films composing a TFT are laminated on an insulating substrate, a resist mask having a plurality of regions with different film thicknesses is formed by patterning on the uppermost layer of the above-stated films. Then, a conductor film is formed by patterning with a liftoff method using this resist mask. Alternatively, using other resist mask having a plurality of regions with different film thicknesses as an etching mask, a plurality of material films among the laminated material films are processed in succession. By the above-stated new pattern forming method and the processing method, the liquid crystal display device, which has been manufactured by five photolitho processes in a conventional art is manufactured by two or three photolitho processes.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: December 30, 2003
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Shusaku Kido
  • Publication number: 20030232286
    Abstract: The metal colloid pattern formation method is a method for forming metal colloid patterns on a substrate by forming a photosensitive layer on a substrate by applying a photosensitive resin composition containing an organic solvent and a polysilane soluble in the organic solvent to the substrate, forming a latent image of the patterns by selectively exposing the photosensitive layer, bringing a metal colloid-containing solution into contact with the photosensitive layer, and forming patterns of the metal colloid by adsorbing the metal colloid in the exposed parts.
    Type: Application
    Filed: June 17, 2003
    Publication date: December 18, 2003
    Applicant: Nippon Paint Co., Ltd.
    Inventors: Emi Watanabe, Takeshi Oka, Ayako Iwakoshi
  • Patent number: 6664030
    Abstract: An exemplary method of constructing an alternating phase-shifting mask is described. This method can include providing a vapor in a vapor chamber containing a mask blank, and applying a laser to selected areas of the mask blank to deposit material on the integrated circuit substrate. The material is configured to cause a 180° phase shift at the wavelengths the mask is designed for such as 248 nm, 193 nm or 157 nm.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Bruno LaFontaine, Bhanwar Singh
  • Publication number: 20030224253
    Abstract: A process of fabricating a non-gap 3-D microstructure array mold core comprises a first step in which a buffer layer is coated on a substrate. A photomask layer is then coated of the buffer layer. A pattern is subsequently formed on the photomask by photo-lithography. The patterned photomask layer is subjected to a reflow by which a microstructure array is formed on the photomask layer. The microstructure array is coated with a metal conductive layer. The microgaps of the microstructure array are eliminated by an electrocasting layer which is coated on the microstructure array. The non-gap microstructure array mold core so fabricated is made into a metal molding tool by microinjection molding or microthermo-pressure molding.
    Type: Application
    Filed: June 1, 2002
    Publication date: December 4, 2003
    Inventors: Kun-Lung Lin, Min-Chieh Chou, Cheng-Tang Pan
  • Patent number: 6656665
    Abstract: The invention concerns a method for producing a pattern on a transparent substrate, particularly a glass or glass-ceramic substrate. According to the invention, there is deposited on at least one area of one face of the substrate, a first layer of a photosensitive resin comprising at least one sensitizing agent and at least one photosensitive compound essentially composed of a polymer with an average degree of cross-linking d° such that it is able to absorb solid particles. Certain areas of said first layer are exposed to light, particularly in order to increase, in a controlled manner, the average degree of cross-linking do of said polymer so as to modulate its absorption capacity. On the first layer is deposited at least one second layer of a mineral-particle-based composition. The substrate is subjected to at least one treatment cycle, particularly in order to fix said mineral particles. The invention also concerns the substrate produced by this method and its applications.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: December 2, 2003
    Assignee: Saint-Gobain Vitrage
    Inventors: Yves Demars, Jean-Christophe Elluin, Gilles Longchampt
  • Patent number: 6653058
    Abstract: A method of removing photoresist material from a semiconductor substrate includes providing a semiconductor substrate having a patterned photoresist mask. A layer comprised of polymer material is formed over the patterned photoresist mask. The layer comprised of polymer material and a portion of the patterned photoresist mask are then removed. The layer comprised of polymer material is preferably formed by introducing a process gas into a plasma environment and is preferably formed with less thickness in a low aspect ratio area relative to a high aspect ratio area.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: November 25, 2003
    Assignee: Lam Research Corporation
    Inventors: Vahid Vahedi, Yosias Melaku
  • Publication number: 20030215664
    Abstract: The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.
    Type: Application
    Filed: May 14, 2002
    Publication date: November 20, 2003
    Inventors: Alfredo M. Morales, Marcela Gonzales
  • Publication number: 20030215723
    Abstract: The present invention provides methods and apparatus for selectively patterning surfaces using radical species generated with a photocatalyst. The photocatalyst may comprise a photocatalytic semiconductor or a photosensitizer. The radical species are brought into contact with an oxidizable coating disposed on the surface, thereby locally oxidizing and selectively patterning the surface. The photocatalyst is preferably disposed on a delivery device, such as a stamp, mask, or scanning probe, that is brought into close proximity or contact with the coated surface. The photocatalyst is then excited in a manner capable of generating radical species, for example, oxygen-containing radical species, in appropriate media. It is expected that these radical species will be transferred to the coated surface along a substantially shortest distance path, thereby locally oxidizing and patterning the surface.
    Type: Application
    Filed: April 18, 2003
    Publication date: November 20, 2003
    Inventors: Jane P. Bearinger, Jeffrey A. Hubbell, Kenneth J. Michlitsch
  • Patent number: 6645696
    Abstract: The use of selected buffering amines in a photoimageable composition prevents process bias which with conventional photoresists causes designed features to be distorted, especially in corners and high resolution features. It is believed that the amines react with the catalysts, e.g., photoacids, generated to create an inert salt. The presence of the amines also increases resolution. Suitable photoimageable compositions includes: (a) a multifunctional polymeric epoxy resin that is dissolved in an organic solvent wherein the epoxy resin comprises oligomers of bisphenol A that is quantitatively protected by glycidyl ether and wherein the oligomers have an average functionality that ranges from about 3 to 12; (b) a photoactive compound; and (c) an amine that is selected from the group consisting of triisobutylamine, 1,8-bis(dimethylamino)naphthalene (also known as PROTON SPONGET™), 2,2′-diazabicyclo[2.2.2] octane and mixtures thereof.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: November 11, 2003
    Assignee: Euv LLC.
    Inventors: Kelby Liv Simison, Paul Dentinger
  • Patent number: 6641985
    Abstract: A SiO2 thin film is formed on a SiO2 substrate provided with a binary-type diffractive element by a radiofrequency sputtering process so as to cover the fine irregularities formed on the substrate caused by misalignment of masks in the production process. This film planarizes the surface having the fine irregularities and thus prevents a decrease in diffraction efficiency.
    Type: Grant
    Filed: October 27, 1999
    Date of Patent: November 4, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasuyuki Unno, Ichiro Tanaka
  • Publication number: 20030203314
    Abstract: In a process for producing hard masks, an initiator layer that contains an initiator component is applied to a substrate. Then, a photoresist is used to produce a pattern on the initiator layer, in the trenches of which pattern the initiator layer is uncovered. Then, a curable hard mask material is applied and selectively cured, so that only those sections of the hard mask material that adjoin the initiator layer are cured. Finally, uncured hard mask material is removed using a solvent, and at the same time the lands formed from the resist are also removed. The pattern obtained in this way can then be transferred to the substrate, for example using plasma.
    Type: Application
    Filed: April 29, 2003
    Publication date: October 30, 2003
    Inventors: Michael Sebald, Ernst-Christian Richter
  • Publication number: 20030186130
    Abstract: Repairing patterns 3a′ and 3b′ different in width and position respectively from regular patterns 3a and 3b by partially removing a repairing film 8 in the form and/or the disposition of achieving a graytone effect equal to the graytone effect of a regular pattern after forming the repairing film 8 in an area containing clear defects.
    Type: Application
    Filed: March 28, 2002
    Publication date: October 2, 2003
    Applicant: HOYA CORPORATION
    Inventor: Kenji Nakayama
  • Patent number: 6627388
    Abstract: The invention provides a method for reducing roughness of the photoresist through cross-linking reaction of deposit and the photoresist. The method comprises at least performing an exposure process to a substrate having a photoresist pattern and performing a post-exposure bake process for activating the surface of photoresist pattern. A material layer is formed to cover the surface of the photoresist pattern. The material layer cross-links with the hydrogen ions on the surface of the photoresist pattern, so that a filling layer is formed to fills asperity or the rough regions of the photoresist pattern.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: September 30, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Shun-Li Lin, Chi-Fang Hsieh
  • Publication number: 20030178547
    Abstract: A process for producing an article with a microstructure includes the steps of forming a primary relief structure on a surface of a substrate, applying a photo resist on the substrate, exposing part of the photo resist using a photo mask so as to form a microstructure pattern, developing the microstructure pattern in the exposed photo resist, thereby allowing access to a part of the primary relief structure from the photo resist, and thereby forming a patterned surface with a microstructure relief that is bounded by the exposed part of the primary relief structure and by remainder of the photo resist on the substrate, and forming a metal layer on the patterned surface to form the article having the microstructure with a profile corresponding to the microstructure relief on the patterned surface.
    Type: Application
    Filed: March 31, 2003
    Publication date: September 25, 2003
    Applicant: Taiwan Nano Electro-Optical Technology Co., Ltd.
    Inventors: Ying-Fu Wang, Yi-Tang Wang
  • Publication number: 20030180628
    Abstract: A process of manufacturing a diffusive direct reflector by Gray tone exposure. The process uses a controllable transmittance photomask on which the photomask pattern is formed by Gray tone exposure, the photomask pattern vertically divided into a plurality of regions, each having a plurality of micro transparent blocks and a plurality of micro dark blocks, so that a ratio of the plurality of micro transparent blocks's area to the total area of respective region has a feature of gradual change, so as to form a transmittance profile for controlling the transmittance profile and producing a desired structure on the diffusive direct reflector.
    Type: Application
    Filed: May 8, 2002
    Publication date: September 25, 2003
    Inventors: Chih-Ming Lai, Yichun Wong, Chi-Chang Liao
  • Patent number: 6620575
    Abstract: The present invention pertains to a method for depositing built-up structures on the surface of patterned masking material used for semiconductor device fabrication. Such built-up structures are useful in achieving critical dimensions in the fabricated device. The composition of the built-up structure to be fabricated is dependant upon the plasma etchants used during etching of underlying substrates and on the composition of the substrate material directly underlying the masking material.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: September 16, 2003
    Assignee: Applied Materials, Inc
    Inventors: Nam-Hun Kim, Jeffrey D. Chinn
  • Patent number: 6617098
    Abstract: A merged-mask micro-machining process is provided that includes the application of a plurality of layers of masking material that are patterned to provide a plurality of etching masks.
    Type: Grant
    Filed: July 13, 1999
    Date of Patent: September 9, 2003
    Assignee: Input/Output, Inc.
    Inventors: Lianzhong Yu, Robert P. Ried, Howard D. Goldberg, Duli Yu
  • Publication number: 20030165751
    Abstract: A film of a photoresist, as used for structuring semiconductor substrates, for example a CARL resist, is applied to a chromium-coated quartz glass substrate in a process for producing photomasks. The photoresist layer is written on by a focused electron beam, heated and then developed. The now structured resist is treated with an amplification agent and thus increases in its etch resistance to an oxygen plasma. During etching of the bare chromium sections, the silicon introduced into the photoresist is converted into silicon dioxide, which forms a protective layer on the chromium layer. Thus, the structure written in by the electron beam can be transferred without loss into the chromium layer.
    Type: Application
    Filed: February 27, 2003
    Publication date: September 4, 2003
    Inventors: Klaus Elian, Michael Sebald
  • Patent number: 6607868
    Abstract: Dipropargyl acetamide derivatives of following Formula 1 which are photoresist monomers, polymers thereof, and photoresist compositions containing the same. The photoresist polymer has high etching resistance, adhesiveness and post-exposure delay stability. As a result, the photoresist composition is suitable to form a fine pattern in a deep ultraviolet region. wherein, n is an integer from 0 to 5.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: August 19, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Hak Choi
  • Publication number: 20030152845
    Abstract: A light-block film is formed on a substrate, and a chemically amplified resist film is then formed on the light-block film. The chemically amplified resist film includes a photosensitive acid generator which generates an acid upon irradiation with active light or radiant ray, and mainly contains a first resin that becomes soluble in bases by action of an acid. Next, a protective film is formed on the chemically amplified resist film and thereby yields a mask blank. The protective film is formed by dissolving a second resin and the photosensitive acid generator in a solvent that does not substantially dissolve the chemically amplified resist film, and applying the solution onto the chemically amplified resist film.
    Type: Application
    Filed: August 14, 2002
    Publication date: August 14, 2003
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Teruhiko Kumada, Atsuko Fujino, Kazuyuki Maetoko
  • Publication number: 20030152844
    Abstract: The invention includes, for example, a radiation patterning tool which can be utilized to form relatively circular contacts in situations in which an array of contacts has a different pitch along a row of the array than along a column of the array. An alternating phase shift can give a well-defined contact in the small pitch (dense) direction. Rim shifters are added in the larger pitch direction to force the circular form of the contact openings. In further aspects of the invention, side-lobe-suppressing patterns can be added between adjacent rims. The invention also includes methods of forming radiation patterning tools.
    Type: Application
    Filed: February 5, 2002
    Publication date: August 14, 2003
    Inventor: H. Daniel Dulman
  • Patent number: 6605412
    Abstract: A resist pattern is provided on a substrate and includes a first resist layer provided on the substrate and defined by a first organic material which dissolves in an organic solvent, water, or a solvent primarily composed of water, a second resist layer defined by a second organic material provided on the first resist layer, the second organic material having a high absorbance of a light having a predetermined wavelength, and a third resist layer defined by a third organic material provided on the second resist layer, the organic material having a resistance to dry etching and being photosensitive to the light.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: August 12, 2003
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Keiji Iwata, Toshio Hagi
  • Publication number: 20030148222
    Abstract: A method of forming complex three-dimensional microstructures wherein an external stimulus is applied to a first layer of a photosensitive material, thereby creating voids in the first layer, and any material present in those voids is removed. A sacrificial material is then provided within at least a portion of the voids. This sacrificial layer fills the voids, either in whole or in part, and enables a second layer of photosensitive material to be stacked upon the first, while still preserving the pattern formed in the first layer. Once the sacrificial layer has been applied, a second layer of photosensitive material may then be stacked onto the first. Successive layers of photosensitive material and sacrificial material may be added until a final, complex three-dimensional structure is created. The sacrificial material may then be removed with a solvent such as carbon dioxide.
    Type: Application
    Filed: February 6, 2002
    Publication date: August 7, 2003
    Inventors: Lawrence E. Bowman, Glen C. Dunham
  • Publication number: 20030143468
    Abstract: A photoresist layer is exposed two or more times. One exposure is conducted through a regular mask, and one exposure through a modified mask with a non-clear region extending beyond a convex boundary of the non-clear region of the regular mask. The exposure through the modified mask allows one to reduce the exposure dose used with the regular mask, and thus alleviates the resist overexposure near convex areas of the non-clear pattern of the regular mask. Other embodiments are also provided.
    Type: Application
    Filed: January 25, 2002
    Publication date: July 31, 2003
    Inventor: John Cauchi
  • Publication number: 20030134207
    Abstract: An alternating phase shift mask. The alternating phase shift mask includes a transparent substrate, a light-shielding layer disposed on the transparent substrate to define a transparent array consisting of a plurality of first phase rows and a plurality of second phase rows alternately interposed between the first phase rows. The alternating phase shift mask further comprises a phase interference enhancement feature disposed a predetermined distance from the outermost row of the transparent array, wherein the phases of the phase interference enhancement feature and the outermost row are reverse.
    Type: Application
    Filed: December 16, 2002
    Publication date: July 17, 2003
    Inventors: Chii-Ming Shiah, Yi-Yu Hsu, Yu-Cheng Tung, Hung-Yueh Liao, Kao-Tsai Tsai, Jong-Bor Wang