Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Patent number: 8574795
    Abstract: Correction of CD variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reflective reticle having a pattern corresponding to a wafer target pattern, or measuring and/or inspecting first reticle pattern portions and calculating and/or simulating corresponding first wafer pattern portions obtained with a predetermined first dosage, identifying CD variations between the exposed wafer or the calculated/simulated first wafer pattern and the target pattern for different target pattern features, exposing a second wafer with the first reticle using a second dose, and correcting the CD variations by applying an additional exposure of the second wafer, before or after exposing the second wafer with the first reticle. Embodiments further include using additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary sizes of selected structures on the wafer for development purposes.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: November 5, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Arthur Hotzel
  • Patent number: 8568963
    Abstract: A method of manufacturing a mask for depositing a thin film is disclosed. In one embodiment, the method includes i) providing a raw material substrate for a deposition mask; ii) removing a portion of the raw material substrate to form a pattern, wherein a plurality of openings are defined in the pattern; and iii) irradiating at least a laser beam onto the openings of the pattern at an inclination angle with respect to the raw material substrate such that inclined portions are formed at the side surfaces of each of the openings of the pattern.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: October 29, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Shin Lee, Jung-Woo Ko
  • Patent number: 8551677
    Abstract: Correction of critical dimension variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reticle, having a pattern corresponding to a target pattern for a wafer, identifying CD variations between the exposed wafer and the target pattern for different features in the target pattern, exposing a second wafer with the first reticle using a second dose, less than or equal to the first dose, and correcting the CD variations by applying an additional exposure of the second wafer. Embodiments further include using one or more additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary the sizes of selected structures on the wafer for development purposes.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 8, 2013
    Assignee: GlobalFoundries Inc.
    Inventor: Arthur Hotzel
  • Patent number: 8541160
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition excelling in the LWR, pattern collapse performance and DOF, and a method of forming a pattern using the same. The composition according to the present invention contains (A) a resin containing a repeating unit with any of partial structures of general formula (I) below, (B) a compound (PA) as defined in the specification, and (C) a compound being configured to generate an acid when exposed to actinic rays or radiation, wherein the resin is contained in an amount of 50 mass % or more based on total solids of the composition.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: September 24, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Akinori Shibuya
  • Patent number: 8524426
    Abstract: A method for correcting a position error of a lithography apparatus comprises inputting position data of exposure pattern, irradiating laser light onto a position reference mask from a position measurement laser system, calculating actual position data of the laser light irradiated onto the position reference mask, and comparing the position data of the exposure pattern with the actual position data of the laser light irradiated onto the position reference mask. With this method, circuit patterns can be accurately formed at predetermined positions on a photomask, and the circuit patterns on the photomask can be accurately formed at predetermined positions on a wafer.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Jin Choi, Dong-Seok Nam
  • Patent number: 8512936
    Abstract: Technologies are generally described for methods, systems, and structures that include patterns formed by optical lithography. In some example methods, a photoresist layer is applied to a substrate, and a graphene layer can be applied to the photoresist layer. Light can be applied through a mask to the graphene layer, where the mask includes a pattern. The light can form the pattern on the graphene layer such that the pattern forms on the photoresist layer.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: August 20, 2013
    Assignee: Empire Technology Development, LLC
    Inventors: Thomas A. Yager, Seth Adrian Miller
  • Patent number: 8501374
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which a plurality of shaped beam shots is determined which will form a target pattern on a surface, within a predetermined tolerance, where the plurality of shaped beam shots includes a plurality of circular or nearly-circular character projection (CP) shots plus one or more non-circular shot, and where at least two shots in the plurality of circular or nearly-circular shots overlap. Methods for manufacturing a surface and for manufacturing a semiconductor device on a substrate are also disclosed.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: August 6, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8465887
    Abstract: Exemplary embodiments provide compositions for erasable media and methods of forming polymers for erasable media. The method can include providing a first monomer, the first monomer including one or more alkoxylated bisphenols and adding at least one second monomer and a catalyst to the first monomer to form a mixture at a first temperature, the second monomer selected from the group consisting of an organic diacid, a dialkyl ester of the organic diacid, and combinations thereof. The method can also include melt condensing the mixture by increasing the temperature from the first temperature to a second temperature over a first amount of time to form one or more polymers, wherein the one or more polymers has an acid value in the range of about 0.1 mg-KOH to about 12 mg-KOH.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: June 18, 2013
    Assignee: Xerox Corporation
    Inventors: Adela Goredema, Guerino Sacripante, Gabriel Iftime, Kentaro Morimitsu, Roger Gaynor, Peter M. Kazmaier
  • Patent number: 8460854
    Abstract: A system and method for forming encoded microparticles is described. One embodiment includes a method for forming a microparticle, the method comprising providing a pattern, wherein the pattern defines a code element, printing the pattern on a substrate to form a first code element within a microparticle region, printing the pattern on the substrate to form at least one successive code element, such that the first code element and the at least one successive code element are within the same microparticle region, wherein a code is formed by the first code element and any successive code elements.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: June 11, 2013
    Assignee: Affymetrix, Inc.
    Inventor: Randall J. True
  • Patent number: 8435702
    Abstract: Provided is a technique capable of improving the dimensional accuracy of a transfer pattern in a lithography technique in which EUV light is used and the EUV light is incident obliquely on a mask and an image of the EUV light reflected from the mask is formed on a semiconductor substrate (resist film), thereby transferring the pattern formed on the mask onto the semiconductor substrate. The present invention is based on a lithography technique in which EUV light is used and an exposure optical system in which the EUV light is obliquely incident on a mask is used. In this lithography technique, an absorber and a difference in level are formed on the mask, and a projective component projected on a mask surface out of a direction cosine component of the incident light is set to be almost orthogonal to an extending direction of the difference in level.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: May 7, 2013
    Assignees: Renesas Electronics Corporation, Kabushiki Kaisha Toshiba
    Inventors: Tsuneo Terasawa, Takeshi Yamane
  • Patent number: 8431914
    Abstract: A charged particle beam writer system is disclosed comprising a generator for a charged particle beam having a beam blur radius, wherein the beam blur radius may be varied from shot to shot, or between two or more groups of shots. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a beam blur radius variation to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the beam blur radius from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the beam blur radius of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: April 30, 2013
    Assignee: D2S, Inc.
    Inventors: Kazuyuki Hagiwara, Akira Fujimura
  • Patent number: 8426119
    Abstract: A system for fabricating a radiation-cured component is provided. The system includes a radiation-sensitive material configured to at least one of initiate, polymerize, crosslink and dissociate with exposure to radiation, and at least one radiation source configured to project a radiation beam with a vector that does not intersect the radiation-sensitive material. The system further includes a radiation directing device that is selectively positionable to reflect the radiation beam in a desired direction and exposure the radiation-sensitive material to the radiation beam. A method for fabricating the radiation-cured components is also provided.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: April 23, 2013
    Assignee: GM Global Technology Operations LLC
    Inventors: Yeh-Hung Lai, Gerald W. Fly, Jeffrey A. Rock
  • Patent number: 8426088
    Abstract: A method of increasing a depth of focus of a lithographic apparatus is disclosed. The method includes forming diffracted beams of radiation using a patterning device pattern; and transforming a phase-wavefront of a portion of the diffracted beams into a first phase-wavefront having a first focal plane for the lithographic apparatus, and a second phase-wavefront having a second, different focal plane, wherein the transforming comprises: subjecting a phase of a first portion of a first diffracted beam and a phase of a corresponding first portion of a second diffracted beam to a phase change which results in an at least partial formation of the first phase-wavefront, and subjecting a phase of a second portion of the first diffracted beam and a phase of a corresponding second portion of the second diffracted beam to a phase change which results in an at least partial formation of the second phase-wavefront.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: April 23, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Laurentius Cornelius De Winter, Jozef Maria Finders
  • Patent number: 8383325
    Abstract: A lithographic method includes providing particles in dry form on a substrate, or on material provided on the substrate, irradiating one or more of the particles with a dose of radiation, the dose of radiation being sufficient to ensure that at least one particle of the one or more particles is bonded to the substrate, or to the material provided on the substrate, and removing particles from the substrate, or from material provided on the substrate, that have not been bonded to the substrate, or to the material provided on the substrate.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: February 26, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Nikolay Nikolaevich Iosad, Cheng-Qun Gui
  • Publication number: 20130029273
    Abstract: In one aspect of the invention, methods, and devices are provided for creating microfluidic and nanofluidic features. In some embodiments, such methods and devices are used to create at least one channel of a desired volume within a channel in a plastic substrate.
    Type: Application
    Filed: October 5, 2007
    Publication date: January 31, 2013
    Applicant: Affymetrix, INC.
    Inventors: Chuan Gao, Tianyue Yu
  • Patent number: 8343695
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. In some embodiments, characteristics of the continuous track will be within a predetermined tolerance.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: January 1, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8323866
    Abstract: Methods and compositions for enhancing the sensitivity of an inorganic resist composition are disclosed. In one aspect, compositions for use with a matrix material (e.g., a lithographically sensitive polymeric material such as a hydrogen-bearing siloxane material) can be formulated with a sensitizer, where the sensitizer can be present in a relatively small amount. The sensitizer can include a radical generator, and can act to enhance the efficiency of radical generation and/or resist crosslinking when the resist is impinged by a selected lithographic radiation. The methods of the present invention can be especially useful in performing short wavelength (e.g., less than 200 nm) lithography, or for processes such as e-beam lithography, which traditionally suffer from low throughput. Methods of utilizing one or more of these aspects are also disclosed.
    Type: Grant
    Filed: July 6, 2009
    Date of Patent: December 4, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Theodore H. Fedynyshyn, Russell B. Goodman
  • Patent number: 8318392
    Abstract: An alignment method is disclosed, in which a distance between a substrate and a photomask is set at a predetermined exposure gap. The photomask is rectangular, and includes a first side, and a second side opposite to the first side. A distance between a midpoint of the first side and the substrate is matched with the exposure gap. The photomask is rotated about, as an axis, a line that connects the midpoint of the first side and a midpoint of the second side to each other, whereby distances between both ends of the first side and the substrate are individually matched with the exposure gap. The photomask is rotated about the first side taken as an axis, whereby a distance between the midpoint of the second side and the substrate is matched with the exposure gap.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: November 27, 2012
    Assignee: Panasonic Corporation
    Inventors: Ryota Hamada, Tomohiro Murakoso
  • Patent number: 8309297
    Abstract: A method of lithographically patterning a substrate that has photoresist having removal areas and non-removal areas includes first exposing at least the non-removal areas to radiation effective to increase outer surface roughness of the photoresist in the non-removal areas at least post-develop but ineffective to change photoresist solubility in a developer for the photoresist to be cleared from the non-removal areas upon develop with the developer. Second exposing of radiation to the removal areas is conducted to be effective to change photoresist solubility in the developer for the photoresist to be cleared from the removal areas upon develop with the developer. The photoresist is developed with the developer effective to clear photoresist from the removal areas and to leave photoresist in the non-removal areas that has outer surface roughness in the non-removal areas which is greater than that before the first exposing. Other implementations and embodiments are contemplated.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: November 13, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Yoshiki Hishiro, Scott Sills, Hiroyuki Mori, Troy Gugel, Paul D. Shirley, Lijing Gou, Adam Olson
  • Patent number: 8304173
    Abstract: The method of forming a pattern includes forming a first photosensitive layer pattern including a first pattern in a first region of a substrate and a second pattern in a second region of the substrate, by performing a first photolithography process using a photomask having a first mask region and a second mask region. The first pattern is transferred from the first mask region, and the second pattern is transferred from the second mask region. The method further includes forming a second photosensitive layer pattern including a third pattern in the second region of the substrate and a fourth pattern in the first region of the substrate, by performing a second photolithography process using the photomask. The third pattern is transferred from the first mask region, and the fourth pattern is transferred from the second mask region.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: November 6, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Yu, Sung-Hyuck Kim, Gi-Sung Yoon
  • Patent number: 8298483
    Abstract: Methods and systems for selection radiation exposure in sterilization of medical devices are disclosed.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: October 30, 2012
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Abigail Freeman, Gregory S. Simmons, Brian D. Riggs, Robert Harrison, Dan Castro, Bin Huang
  • Patent number: 8293456
    Abstract: A semiconductor device manufacturing method includes applying illumination light to a photomask, and projecting diffracted light components from the photomask via a projection optical system to form a photoresist pattern on a substrate. The photomask includes a plurality of opening patterns which are arranged on each of a plurality of parallel lines at regular second intervals in a second direction and which have regular first intervals in a first direction perpendicular to the second direction. The plurality of opening patterns arranged on the adjacent ones of the plurality of parallel lines are displaced from each other half the second interval in the second direction. Moreover, the dimensions of the plurality of opening patterns and the complex amplitude transmittance of nontransparent region in the photomask are set so that three of the diffracted light components passing through the pupil of the projection optical system have equal amplitude.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: October 23, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuya Fukuhara, Takaki Hashimoto, Kazuyuki Masukawa, Yasunobu Kai
  • Patent number: 8283094
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed.
    Type: Grant
    Filed: October 16, 2011
    Date of Patent: October 9, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8252517
    Abstract: Stop flow interference lithography system for high throughput synthesis of 3-dimensionally patterned polymer particles. The system includes a microfluidic channel containing a stationary oligomer film and a phase mask located adjacent to the microfluidic channel. A source of collimated light is provided for passing the collimated light through the phase mask and into the microfluidic channel for interaction with the oligomer. The passage of the collimated light through the phase mask generates a 3-dimensional distribution of light intensity to induce crosslinking of the oligomer in high intensity regions thereby forming 3-dimensional structures.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: August 28, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Edwin L. Thomas, Patrick Seamus Doyle, Dhananjay Dendukuri, Ji-Hyun Jang, Chaitanya K. Ullal
  • Patent number: 8252487
    Abstract: A device manufacturing method, is presented herein. In one embodiment, the device manufacturing method includes a mask for use with DUV having a quartz substrate and chrome absorber. The chrome absorber has a thickness of about 700 nm which causes increased TE polarization in the transmitted light and improves contrast at the substrate level.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: August 28, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Marcel Mathijs Theodore Marie Dierichs, Markus Franciscus Antonius Eurlings, Donis George Flagello
  • Patent number: 8236480
    Abstract: A method for microfabrication of a microfluidic device having sub-millimeter three dimensional relief structures is disclosed. In this method, homogeneous surfaces, which do not exhibit apparent pixel geometry, emerge from the interaction of the overlapping of diffracted light under opaque pixels and the nonlinear polymerization properties of the photoresist material. The method requires a single photolithographic step and allows for the fabrication of microstructures over large areas (centimeters) with topographic modulation of features smaller than 100 micrometers. The method generates topography that is useful in a broad range of microfluidic applications.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: August 7, 2012
    Assignee: The United States of America, as represented by the Secretary of Commere, the National Institute of Standards and Technology
    Inventors: Laurie E. Locascio, Francisco Javier Atencia-Fernandez, Susan Barnes, Jack F. Douglas
  • Patent number: 8232029
    Abstract: A method of correcting patterns includes attaining a correcting amount distribution map using a photo mask, the photo mask including a transparent substrate having first and second surfaces opposite to each other and a mask pattern on the first surface, attaining a plurality of shadowing maps based on the correction amount distribution map, each of the shadowing maps including a unit section having a different plane area, and forming a plurality of shadowing regions with shadowing elements in the transparent substrate of the photo mask using respective shadowing maps.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: July 31, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: MyoungSoo Lee, Byunggook Kim
  • Patent number: 8227180
    Abstract: An anti-reflective coating material, a microelectronic structure that includes an anti-reflective coating layer formed from the anti-reflective coating material and a related method for exposing a resist layer located over a substrate while using the anti-reflective coating layer provide for attenuation of secondary reflected vertical alignment beam radiation when aligning the substrate including the resist layer located thereover. Such enhanced vertical alignment provides for improved dimensional integrity of a patterned resist layer formed from the resist layer, as well as additional target layers that may be fabricated while using the resist layer as a mask.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy Allan Brunner, Sean David Burns, Kuang-Jung Chen, Wu-Song Huang, Kafai Lai, Wai-Kin Li, Bernhard R. Liegl
  • Patent number: 8222621
    Abstract: In a maskless particle multibeam processing apparatus, a particle beam is projected through a pattern definition system producing a regular array of beamlets according to a desired pattern, which is projected onto a target which moves at continuous speed along a scanning direction with respect to the pattern definition system. During a sequence of uniformly timed exposure steps the beam image is moved along with the target along the scanning direction, and between exposure steps the location of the beam image is changed with respect to the target. During each exposure step the target covers a distance greater than the mutual distance of neighboring image elements on the target.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: July 17, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Robert Nowak, Adrian Bürli
  • Patent number: 8216765
    Abstract: The present disclosure provides a reusable and reimageable medium including a substrate coated with a photochromic polymer. The photochromic polymer has a glass transition temperature ranging from 30° C. to 150° C., such as from about 30° C. to about 100° C., and the coated substrate converts to a colored state when both UV light and temperatures ranging from 30° C. up to 100° C. are applied to the coated substrate. The present disclosure also provides a method for producing and using the reusable and reimageable medium.
    Type: Grant
    Filed: March 9, 2009
    Date of Patent: July 10, 2012
    Assignee: Xerox Corporation
    Inventors: Kentaro Morimitsu, Tyler Norsten, Gabriel Iftime, Peter M. Kazmaier, Guerino Sacripante
  • Patent number: 8211624
    Abstract: A pattern forming method includes (1) selectively exposing a first resist layer, and developing the exposed first resist layer to form a first pattern, (2) applying a resin composition containing a hydroxyl group-containing resin and a solvent to the first pattern, baking the applied resin composition, and developing the baked resin composition to form a second pattern, the hydroxyl group-containing resin becoming insoluble or scarcely soluble in a developer when baked, and (3) totally or selectively exposing the second pattern to make the second pattern partly soluble in the developer, and developing the exposed second pattern to form a third pattern in which at least a hole or a groove is formed in the second pattern.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: July 3, 2012
    Assignee: JSR Corporation
    Inventors: Atsushi Nakamura, Tsutomu Shimokawa, Junichi Takahashi, Takayoshi Abe, Tomoki Nagai, Tomohiro Kakizawa
  • Patent number: 8206889
    Abstract: A manufacturing technique of a semiconductor integrated circuit device utilizing a defect correction technique of a reflection-type mask using extreme ultraviolet (EUV) light with a wavelength of about 13.5 nm as an exposure light source is provided. An auxiliary pattern having an opening diameter finer than that of an opening pattern in which a phase defect is generated is formed in an absorption layer in the vicinity of the opening pattern. The auxiliary pattern is a pattern for adjusting the exposure light amount at the time when the opening pattern is transferred to a photoresist film on a wafer.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: June 26, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Toshihiko Tanaka
  • Patent number: 8197930
    Abstract: A three-dimensional ordered open-cellular structure. In one embodiment, the structure includes: a plurality of first truss elements defined by a plurality of first self-propagating polymer waveguides and extending along a first direction; a plurality of second truss elements defined by a plurality of second self-propagating polymer waveguides and extending along a second direction; and a plurality of third truss elements defined by a plurality of third self-propagating polymer waveguides and extending along a third direction. The first, second, and third truss elements interpenetrate each other at a plurality of nodes to form a continuous material, and the three-dimensional structure is self-supporting.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: June 12, 2012
    Assignee: HRL Laboratories, LLC
    Inventors: Alan J. Jacobsen, William B. Barvosa-Carter
  • Patent number: 8198004
    Abstract: A resist composition which is stable relative to solvents used in immersion lithography processes and displays excellent sensitivity and resist pattern profile, and a method of forming a resist pattern that uses such a resist composition are provided. The resist composition is in accordance with predetermined parameters, or is a positive resist composition comprising a resin component (A) which contains an acid dissociable, dissolution inhibiting group and displays increased alkali solubility under the action of acid, an acid generator component (B), and an organic solvent (C), wherein the component (A) contains a structural unit (a1) derived from a (meth)acrylate ester containing an acid dissociable, dissolution inhibiting group, but contains no structural units (a0), including structural units (a0-1) containing an anhydride of a dicarboxylic acid and structural units (a0-2) containing a phenolic hydroxyl group.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: June 12, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Taku Hirayama, Hideo Hada, Satoshi Fujimura, Takeshi Iwai, Mitsuru Sato, Ryoichi Takasu, Toshikazu Tachikawa, Jun Iwashita, Keita Ishiduka, Tomotaka Yamada, Toshikazu Takayama, Masaaki Yoshida
  • Patent number: 8197991
    Abstract: An exposure mask provides a minute pattern formation which enables the high integration of semiconductor devices by preventing the generation of a scum in a space between a first pattern and a second pattern. The exposure mask includes a first pattern and a second pattern adjacent to the first pattern. A space is formed between the first pattern and the second pattern. The first pattern and the second pattern may each include a square wave shaped edge that is adjacent to the space. The square wave shaped edge includes a plurality of concave portions and convex portions.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: June 12, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae In Moon
  • Patent number: 8192920
    Abstract: Embodiments of the invention relate to lithography method useful for patterning at sub-micron resolution. This method comprised of deposition and patterning self-assembled monolayer resists using rolling applicator and rolling mask exposure apparatus. Typically the application of these self-assembled monolayers involves contacting substrate materials with a rotatable applicator in the shape of cylinder or cone wetted with precursor materials. The nanopatterning technique makes use of Near-Field photolithography, where the mask used to pattern the substrate is in contact with self-assembled monolayer. The Near-Field photolithography may make use of an elastomeric phase-shifting mask, or may employ surface plasmon technology, where a rotating mask surface comprises metal nano holes or nanoparticles.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: June 5, 2012
    Assignee: Rolith Inc.
    Inventor: Boris Kobrin
  • Patent number: 8178263
    Abstract: A method of increasing a depth of focus of a lithographic apparatus is disclosed. The method includes forming diffracted beams of radiation using a patterning device pattern; and transforming a phase-wavefront of a portion of the diffracted beams into a first phase-wavefront having a first focal plane for the lithographic apparatus, and a second phase-wavefront having a second, different focal plane, wherein the transforming comprises: subjecting a phase of a first portion of a first diffracted beam and a phase of a corresponding first portion of a second diffracted beam to a phase change which results in an at least partial formation of the first phase-wavefront, and subjecting a phase of a second portion of the first diffracted beam and a phase of a corresponding second portion of the second diffracted beam to a phase change which results in an at least partial formation of the second phase-wavefront.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: May 15, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Laurentius Cornelius De Winter, Jozef Maria Finders
  • Patent number: 8178280
    Abstract: A lithography method is disclosed. An exemplary lithography method includes providing an energy sensitive resist material on a substrate; providing a desired pattern; performing a lithography process on the substrate, wherein the lithography process includes exposing the energy sensitive resist material to a charged particle beam, such that the desired pattern is transferred to the energy sensitive resist material; and directing the charged particle beam from an off state to a defocus state, wherein the defocus state compensates for the backscattered energy, thereby reducing proximity effects.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Shy-Jay Lin
  • Patent number: 8173347
    Abstract: A method for surface micropatterning includes forming on a surface containing a first polymer a first coating containing a second polymer having first functionalities capable of being converted to second functionalities by exposure to an acid. A second coating containing a photoacid generator is formed on the first coating. The second coating containing the photoacid generator is selectively irradiated in one or more regions thereof with radiation having a spatially varying internsity pattern to generate an acid in each irradiated region of the second coating. The acid converts the first functionalities of each region of the second polymer underlying a respective irradiated region of the second coating to second functionalities. A first molecular patterned surface having one or more regions of the first functionalities and one or more regions of the second functionalities is formed.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: May 8, 2012
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Jeffrey T. Koberstein, Feng Pan, Kwangjoo Lee, Peng Wang
  • Patent number: 8163466
    Abstract: A method forms a first patterned mask (comprising rectangular features and/or rounded openings) on a planar surface and forms a second patterned mask on the first patterned mask and the planar surface. The second patterned mask covers protected portions of the first patterned mask and the second patterned mask reveals exposed portions of the first patterned mask. The method treats the exposed portions of the first patterned mask with a chemical treatment that reduces the size of the exposed portions to create an altered first patterned mask.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wai-Kin Li
  • Patent number: 8153352
    Abstract: A process for forming a pixel circuit is disclosed comprising: (a) providing a transparent support; (b) forming a multicolor mask having at least four different color patterns; (c) forming integrated electronic components of the pixel circuit having at least four layers of patterned functional material comprising a first conductor, a dielectric, a semiconductor, and a second conductor each layer of patterned functional material corresponding to the four different color patterns of the multicolor mask. The functional material is patterned using a photopattern corresponding to each color pattern.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: April 10, 2012
    Assignee: Eastman Kodak Company
    Inventors: Lyn M. Irving, David H. Levy, Lan B. Thai
  • Patent number: 8137869
    Abstract: A method for manufacturing and/or protecting an optical element, wherein the optical element has at least one surface comprising a profile having height differences, thereby providing cavities and elevations having a predetermined maximum height difference, includes providing a transmissive layer in the cavities and on the elevations of the optical elements, the transmissive layer having a first height in the cavities that is larger than the predetermined maximum height difference, and surfacing the transmissive layer after providing the transmissive layer such that the transmissive layer has a second height on the elevations that is substantially zero or larger, thereby providing a transmissive layer with a substantially planar surface.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: March 20, 2012
    Assignee: ASML Netherlands, B.V.
    Inventor: Levinus Pieter Bakker
  • Patent number: 8133661
    Abstract: Provided is a photomask that includes a substrate having a first region and a second region, a first pattern disposed in the first region of the substrate, and a second pattern disposed in the second region of the substrate. The first and second patterns are a decomposition of a design pattern to be transferred onto a wafer in a lithography process.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: March 13, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao Chih Chang, Dong-Hsu Cheng, Chih-Chiang Tu
  • Patent number: 8129098
    Abstract: The invention relates to a process for forming a structure comprising (a) providing a transparent support; (b) forming a color mask on a first side of the transparent support; (c) applying a first layer comprising a deposition inhibitor material that is sensitive to visible light; (d) patterning the first layer by exposing the first layer through the color mask with visible light to form a first pattern and developing the deposition inhibitor material to provide selected areas of the first layer effectively not having the deposition inhibitor material; and (e) depositing a second layer of functional material over the transparent support; wherein the second layer of functional material is substantially deposited only in selected areas over the transparent support not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: March 6, 2012
    Assignee: Eastman Kodak Company
    Inventors: Lyn M. Irving, Diane C. Freeman, Peter J. Cowdery-Corvan, Cheng Yang, David H. Levy
  • Patent number: 8124312
    Abstract: A novel method for forming a pattern capable of decreasing the number of steps in a double patterning process, and a material for forming a coating film suitably used in the method for forming a pattern are provided. First resist film (2) is formed by applying a first chemically amplified resist composition on support (1), and thus formed film is selectively exposed, and developed to form multiple first resist patterns (3). Next, on the surface of the first resist patterns (3) are formed multiple coating patterns (5) by forming coating films (4) constituted with a water soluble resin film, respectively. Furthermore, a second chemically amplified resist composition is applied on the support (1) having the coating pattern (5) formed thereon to form second resist film (6), which is selectively exposed and developed to form multiple second resist patterns (7). Accordingly, a pattern including the coating patterns (5) and the second resist patterns (7) is formed on the support (1).
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: February 28, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kiyoshi Ishikawa, Jun Koshiyama, Kazumasa Wakiya
  • Patent number: 8124319
    Abstract: A semiconductor lithography process. A photoresist film is coated on a substrate. The photoresist film is subjected to a flood exposure to blanket expose the photoresist film across the substrate to a first radiation with a relatively lower dosage. The photoresist film is then subjected to a main exposure using a photomask to expose the photoresist film in a step and scan manner to a second radiation with a relatively higher dosage. After baking, the photoresist film is developed.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: February 28, 2012
    Assignee: Nanya Technology Corp.
    Inventors: Pei-Lin Huang, Chun-Yen Huang, Yi-Ming Wang
  • Patent number: 8119322
    Abstract: A method for forming a self-aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material being either photo or thermally sensitive; performing a blanket exposure of the substrate; and allowing at least a portion of the masking material to preferential develop in a fashion that is replicates the existing pattern of the substrate. The existing pattern may be comprised of a first set of regions of the substrate having a first reflectivity and a second set of regions of the substrate having a second reflectivity different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. Structures made in accordance with the method.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Matthew E. Colburn, Elbert Huang, Muthumanickam Sankarapandian
  • Patent number: 8119313
    Abstract: A method for manufacturing a semiconductor device, includes: supplying a liquid resist containing a water-repellent additive to a surface of a rotating semiconductor wafer fixed to a rotary support to form a resist film to a design thickness on the surface of the semiconductor wafer; spin drying the resist film; bringing a liquid into contact with the resist film and exposing the resist film through the liquid after the spin drying; developing the resist film to form a resist pattern; and performing processing on the semiconductor wafer. A condition for adjusting contact angle between the resist film surface and the liquid is controlled so that the contact angle assumes a desired value, the condition including at least one selected from the group consisting of spin drying time for the resist film, resist temperature during the supplying, pressure of an atmosphere above the semiconductor wafer surface, and humidity of the atmosphere above the semiconductor wafer surface.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: February 21, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsutoshi Kobayashi, Daizo Muto, Koutarou Sho, Tsukasa Azuma
  • Patent number: 8114571
    Abstract: Photoacid generators generate sulfonic acids of formula (1a) or (1b) upon exposure to high-energy radiation. R1—COOCH2CF2SO3?H+??(1a) R1—O—COOCH2CF2SO3?H+??(1b) R1 is a monovalent C20-C50 hydrocarbon group of steroid structure which may contain a heteroatom. The bulky steroid structure ensures adequate control of acid diffusion. The photoacid generators are compatible with resins and suited for use in chemically amplified resist compositions.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: February 14, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8092959
    Abstract: A template (10) having a first surface (13, 14), usable for transferring a pattern of the first surface to an object (20) having a second surface (23) covered by a light-sensitive coating (22), by contacting the patterned first surface with the coating, wherein the template comprises a carrier base (11) of e.g. nickel, and a waveguide (14) is disposed on the carrier base at the first surface. The waveguide is devised to lead light therein, introduced at a radiation input, and to leak evanescent waves to portions (24) of the coating corresponding to said pattern. The template may also be devised with an opaque shield (15), disposed at the first surface over selected portions of the waveguide, which serves to define where the evanescent waves can leak to the coating. The invention also relates to a method and an apparatus for using the template, and a method for manufacturing it.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: January 10, 2012
    Assignee: Obducat AB
    Inventor: Marc Beck