Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Patent number: 7371510
    Abstract: Provided are a material for forming a resist protecting film which is for use in a liquid immersion lithography process and which is formed on a resist film, wherein the material has the following properties of: being transparent with respect to exposure light; having substantially no compatibility with a liquid for liquid immersion lithography; and causing no mixing with the resist film, a composite film comprising a protective film formed from the material and a resist film, and a method for forming a resist pattern using them. These can prevent both the resist film and the liquid used from changing in properties during the liquid immersion lithography, so that a resist pattern with high resolution can be formed using the liquid immersion lithography.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: May 13, 2008
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Taku Hirayama, Ryoichi Takasu, Mitsuru Sato, Kazumasa Wakiya, Masaaki Yoshida, Koki Tamura
  • Patent number: 7358035
    Abstract: A topcoat composition that includes a fluorine-containing polymer and a casting solvent selected from the group consisting of ?,?,?-trifluorotoluene, 2,2,3,3,4,4,5,5-octafluoropentyl-1,1,2,2-tetrafluoroethyl ether (OFP-TFEE), and a mixture consisting of a hydrophobic alkane and an alcohol is provided.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: April 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Hiroshi Ito, Linda Karin Sundberg
  • Patent number: 7354695
    Abstract: A method is provided for preparing high surface-area texturing of a substrate using methods by which material from a substrate is subtracted from or added to the surface of the substrate. In one embodiment, the method is a subtractive lithographic method that involves exposing a laser-ablatable substrate, such as a polymeric or ceramic substrate, to laser light. A mask may be used to define the pattern of light incident on the substrate. High surface-area textured substrates, in particular, miniaturized planar analysis devices having high surface-area textured features, prepared by the methods disclosed herein, are also provided. A method by which the high surface-area textured substrate or the miniaturized planar analysis device is used as a master from which replicate copies thereof may be made is also provided.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: April 8, 2008
    Assignee: Agilent Technologies, Inc.
    Inventors: Reid A. Brennen, Sally A. Swedberg
  • Patent number: 7351523
    Abstract: The use of a red-sensitising trinuclear merocyanine dye and an osmium dopant according to formula (I): [Os(NZ)L5]r wherein Z is sulphur or oxygen, L is a ligand and r is 0, ?1, ?2 or ?3, in photographic silver halide emulsions for use in photographic materials, result in a reduction and/or prevention of speed gain in the emulsion over time without significant speed loss.
    Type: Grant
    Filed: November 14, 2004
    Date of Patent: April 1, 2008
    Assignee: Eastman Kodak Company
    Inventors: Nicholas E. Grzeskowiak, Colin J. Gray
  • Publication number: 20080063990
    Abstract: Provided are liquid compositions suitable for use as immersion liquids in immersion lithography, and immersion lithography processes and apparatus using the compositions.
    Type: Application
    Filed: June 8, 2007
    Publication date: March 13, 2008
    Applicant: Honeywell International, Inc.
    Inventor: Alex Sergey Ionkin
  • Publication number: 20080061248
    Abstract: Provided are compositions suitable for use as immersion liquids in immersion lithography, and immersion lithography processes and apparatus for using the compositions.
    Type: Application
    Filed: June 8, 2007
    Publication date: March 13, 2008
    Inventor: Jerald Feldman
  • Publication number: 20080055577
    Abstract: According to an aspect of the present invention, there is provided a method of projecting a pattern onto a substrate. The method includes rotating a mask having a plurality of patterns provided thereon, to select a pattern to be projected onto a substrate, using the selected pattern to impart a beam of radiation with a pattern in its cross-section corresponding to the selected pattern, and projecting the patterned beam of radiation onto a target portion of the substrate.
    Type: Application
    Filed: August 30, 2006
    Publication date: March 6, 2008
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Erik Marie Jose Smeets, David Christopher Ockwell, Johannes Arie Van Den Broek
  • Publication number: 20080050680
    Abstract: Systems and methods of lithography of semiconductor devices are disclosed. A preferred embodiment comprises a method of exposing a workpiece. The method includes moving a workpiece along a plurality of exposure fields in a column in a first direction while alternatingly moving a lithography mask in a second direction and the first direction for the plurality of exposure fields in the column. The second direction comprises a direction opposite the first direction.
    Type: Application
    Filed: August 24, 2006
    Publication date: February 28, 2008
    Inventor: Stefan Brandl
  • Publication number: 20080050681
    Abstract: Aimed at improving balance between refractive index and absorbance of an immersion fluid used for light exposure based on the immersion method, the immersion fluid is configured as containing a deuterated dialkyl sulfoxide.
    Type: Application
    Filed: August 24, 2007
    Publication date: February 28, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Toshirou Itani
  • Publication number: 20080036980
    Abstract: A pattern forming method includes performing resist coating on a substrate, thereby forming a resist film; performing immersion light exposure in accordance with a predetermined pattern on the resist film formed on the substrate, while immersing the resist film in a high refractive index liquid having a refractive index higher than water; and performing development of the resist film after the immersion light exposure. Further, this method includes performing cleaning on the substrate by use of a cleaning liquid containing the same active ingredient as the high refractive index liquid in at least one of a first period after formation of the resist film and before the immersion light exposure and a second period after the immersion light exposure and before the development.
    Type: Application
    Filed: July 20, 2007
    Publication date: February 14, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Taro YAMAMOTO
  • Patent number: 7329481
    Abstract: Substrate optical waveguides having curved major surfaces and methods for making the same are disclosed. In one exemplary embodiment, a photosensitive cladding layer is pattern exposed to actinic radiation through a first gray-scale mask and subsequently developed to define a groove therein having a curved major bottom surface. A layer of photosensitive core material is thereafter formed over the groove, pattern exposed to actinic radiation through a second gray-scale mask, and subsequently developed to define a core element. The core element is disposed within the groove and has a curved major bottom surface and a curved major top surface.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: February 12, 2008
    Assignee: Fujitsu Limited
    Inventor: Kishio Yokouchi
  • Patent number: 7326442
    Abstract: An antireflective composition and a lithographic structure comprising a silicon-metal oxide, antireflective material derived from the composition. The antireflective composition comprises a polymer of formula I, wherein 1?x?2; 1?y?5; 1?0; m>0; n>0; R is a chromophore, M is a metal selected from Group IIIB to Group VIB, lanthanides, Group IIIA, Group IVA except silicon; and L is an optional ligand. The invention is also directed to a process of making a lithographic structure including a silicon-metal oxide, antireflective material.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Katherina E. Babich, Sean D. Burns, Elbert E. Huang, Arpan P. Mahorowala, Dirk Pfeiffer, Karen Temple
  • Publication number: 20080020329
    Abstract: The method for fabricating the semiconductor device includes the step of forming a photoresist film 84 over a substrate 10, the step of exposing interconnection patterns to the photoresist film 84, the step of exposing to the photoresist film 84 hole patterns of a plurality of holes positioned at ends or bent portions of the interconnection patterns where holes to be connected to the interconnection patterns are to be formed, and the step of developing the photoresist film 84 with the interconnection patterns and the holes patterns exposed to. Thus, the insufficient exposure energy at the ends or the bent portions of the patterns due to optical proximity effect is compensated to prevent the shortening at the pattern ends or the rounding at the pattern bent portions. The contacts with the contact plugs connected to the pattern ends or the pattern bent portions can be ensured.
    Type: Application
    Filed: November 7, 2006
    Publication date: January 24, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Fumitoshi Sugimoto
  • Patent number: 7320856
    Abstract: A main object of the present invention is to provide a manufacturing method of a plurality of pattern formed bodies which makes it possible that even if the pattern formed bodies are continuously manufactured, their property varied patterns are each made into a target pattern form with high precision.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: January 22, 2008
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kaori Yamashita, Takashi Sawada, Hironori Kobayashi
  • Publication number: 20070298355
    Abstract: There is disclosed a resist top coat composition, comprising at least a polymer that has an amino group or a sulfonamide group at a polymer end and that is represented by the following general formula (1); and a patterning process comprising: at least, a step of forming a photoresist film on a substrate; a step of forming a resist top coat on the photoresist film by using the resist top coat composition; a step of exposing the substrate; and a step of developing the substrate with a developer. There can be provided a resist top coat composition that makes it possible to provide more certainly rectangular and excellent resist patterns when a top coat is formed on a photoresist film; and a patterning process using such a composition.
    Type: Application
    Filed: June 11, 2007
    Publication date: December 27, 2007
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yuji Harada, Jun Hatakeyama
  • Patent number: 7312020
    Abstract: A lithography method for forming a plurality of patterns in a photoresist layer. A phase shift mask including a plurality of transparent main features, a plurality of first phase shift transparent regions, and a plurality of second phase shift transparent regions is provided. Each transparent main feature is surrounded by the first phase shift transparent regions and the second phase shift transparent regions interlaced contiguously along a periphery of the transparent main feature. Each of the first phase shift transparent regions has a phase shift relative to each of the second phase shift transparent regions. An exposure process is performed to irradiate the phase shift mask with light so that the patterns corresponding to the transparent main features are formed in the photoresist layer.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: December 25, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Lung Lin, Chuen Huei Yang, Ming-Jui Chen, Venson Lee
  • Publication number: 20070287080
    Abstract: A method of manufacturing includes depositing a material on a surface of a substrate in a liquid form using an inkjet process, whereby the material dries in an initial shape on the substrate. A photolithographic process is applied using a mask that is separate from the substrate in order to modify the initial shape.
    Type: Application
    Filed: October 26, 2006
    Publication date: December 13, 2007
    Inventors: Arie Glazer, David Bochner, Gershon Miller, Ofer Saphier, Mannie Dorfan
  • Patent number: 7288366
    Abstract: A reticle structure and a method of forming a photoresist profile on a substrate using the reticle having a multi-level profile. The reticle comprises (1) a transparent substrate, (2) a partially transmitting 180 degree phase shift film overlying predetermined areas of the transparent substrate to transmit approximately 20 to 70% of incident light, and (3) an opaque film overlying the predetermined areas of the partially transmitting 180 degree phase shift film. The method comprises the following steps: a) depositing a photoresist film over the substrate; b) directing light to the photoresist film through the reticle, and c) developing the photoresist film to form an opening in the resist layer where light only passed thru the substrate, and to remove intermediate thickness of the photoresist film, in the areas where the light passed through the partially transmitting 180 degree phase shift film. In an aspect, the photoresist film is comprised of a lower photoresist layer and an upper photoresist layer.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: October 30, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Sia Kim Tan, Qun Ying Lin, Soon Yoeng Tan, Huey Ming Chong
  • Patent number: 7282309
    Abstract: A photomask includes a semi-light-shielding portion having a light-shielding property, a light-transmitting portion surrounded by the semi-light-shielding portion and a peripheral portion positioned in a periphery of the light-transmitting portion on a transparent substrate. The semi-light-shielding portion and the light-transmitting portion transmit the exposure light in the same phase each other, whereas the peripheral portion transmits the exposure light in a phase opposite to that of the light-transmitting portion. A phase shift film that transmits the exposure light in a phase opposite to that of the peripheral portion is formed on the transparent substrate-in the semi-light-shielding portion formation region.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: October 16, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akio Misaka
  • Patent number: 7279253
    Abstract: A near-field exposure mask includes a mask base material and a light blocking layer formed on the base material, the light blocking layer includes a fine metal structure or fine opening formed in the light blocking layer. The size of the metal structure or the size of the opening is not more than a wavelength of light for exposure, and at least one of a cross section of the fine metal structure in a direction perpendicular to a surface of the mask, and a cross section of the fine opening in a direction perpendicular to the mask surface has an asymmetrical sectional shape with respect to an arbitrary axis perpendicular to the mask surface.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: October 9, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tomohiro Yamada, Ryo Kuroda, Natsuhiko Mizutani
  • Patent number: 7270921
    Abstract: A pattern forming method is proposed for easy correction of a pattern-size variation occurring in an etching process. An energy beam is radiated onto a resist-applied target while the energy beam is adjusted to correct the pattern-size variation occurring in the etching process. The resist on the target is developed to form a resist pattern. The target is etched with the resist pattern as a mask, thus forming patterns thereon.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: September 18, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Munehiro Ogasawara
  • Patent number: 7267930
    Abstract: Optical transmission structures include a waveguide and an optical lens wherein the optical lens has a sufficiently large thickness to allow the formation of a curved front lens surface that collimates transmitted light rays so that they travel within a plane that is coplanar to a working surface. The present invention also relates to a technique for manufacturing the optical transmission structure, which involves the use of a photopolymer material. The optical transmission structure can be implemented in various systems such as a system for optical data input.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: September 11, 2007
    Assignee: National Semiconductor Corporation
    Inventor: Jonathan N. Payne
  • Patent number: 7264909
    Abstract: An exposure parameter obtaining method comprising forming a charged reference pattern and a plurality of charged exposure patterns at a surface region of a to-be-exposed insulation substrate by projecting a charged beam with a first incident energy using a reference pattern whose exposure parameter has been known beforehand and all of selected exposure patterns to be corrected, forming electron signal images for the charged reference pattern and the plurality of charged exposure patterns on the basis of charged particles including secondary electrons by scanning the surface of the insulation substrate with a charged beam with a second incident energy lower than the first incident energy, and creating, on the basis of the electron signal images, the exposure parameters including at least one of position, focal point, astigmatism, rotation, and magnification for all of the selected exposure patterns to be corrected.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: September 4, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro Nakasugi
  • Patent number: 7264918
    Abstract: A resist composition for liquid immersion lithography process, which comprises: (A) a polymer comprising (a1) alkali-soluble constitutional units each comprising an alicyclic group having both (i) a fluorine atom or a fluoroalkyl group and (ii) an alcoholic hydroxyl group, wherein the polymer changes in alkali-solubility due to the action of acid; and (B) an acid generator which generates acid due to exposure to light, and a method for forming a resist pattern using the resist composition. By the resist composition or the method, an adverse effect of the immersion liquid can be avoided while achieving high resolution and high depth of focus.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: September 4, 2007
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kotaro Endo, Masaaki Yoshida, Taku Hirayama, Hiromitsu Tsuji, Toshiyuki Ogata, Mitsuru Sato
  • Patent number: 7250248
    Abstract: A photomask has a semi-light-shielding portion having a light-shielding property and a light-transmitting portion surrounded by the semi-light-shielding portion, and a peripheral portion positioned in the periphery of the light-transmitting portion. The semi-light-shielding portion and the light-transmitting portion transmit exposure light in the same phase, whereas the peripheral portion transmits exposure light in a phase opposite to that of the light-transmitting portion.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: July 31, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akio Misaka
  • Patent number: 7241541
    Abstract: A method is provided for improving layer to layer overlay of a second layer pattern on a first layer pattern formed in a substrate. A plurality of first reference marks is placed inside a pattern area on a first layer mask which is used to form the first layer pattern. A plurality of second reference marks is placed on a second layer mask which is used to form the second layer pattern and in which one second reference mark is matched with a first reference mark having the same (x,y) coordinates. Reference mark placement in the resulting first and second layer patterns is determined by metrology to determine an x-deviation and a y-deviation for each matched pair of reference marks. A correction algorithm is then used to calculate adjustments in exposure tool settings for improved overlay of the second layer pattern on the first layer pattern in subsequent exposures.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: July 10, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Fei-Gwo Tsai
  • Patent number: 7239369
    Abstract: A lithographic projection apparatus in which a balance mass is supported by a base frame using at least one supporting member which is coupled to both the base frame and balance mass. Free horizontal movement is provided by providing the supporting member with at least two pivot points.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: July 3, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Hernes Jacobs, Henrikus Herman Marie Cox, Petrus Matthijs Henricus Vosters
  • Patent number: 7238463
    Abstract: A method for manufacturing electrodes of a plasma display panel includes providing a front transparent substrate including transparent electrodes on the front transparent substrate, coating a black photosensitive paste film and a main photosensitive conductive paste film of negative-working type on the transparent electrodes, exposing the black photosensitive paste film and main photosensitive conductive paste film to define bus electrodes on the transparent electrodes, wherein exposure energy acting on main regions of the bus electrodes is greater than exposure energy acting on edge regions of the bus electrodes, developing the black photosensitive paste film and main photosensitive conductive paste film to form the bus electrodes, in which a thickness of the edge regions of the bus electrodes is less than a thickness of the main regions of the bus electrodes, and firing the black photosensitive paste film and main photosensitive conductive paste film.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: July 3, 2007
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Ching-Hui Lin
  • Patent number: 7192693
    Abstract: In one aspect, the invention provides methods for forming a photopatterned hydrogel. In some embodiments, the methods comprise the step of exposing a solution comprising a monomer, a crosslinking agent, and a photoinitiator to a pattern of light comprising a first and a second light intensity for a period of time and under suitable conditions for the first light intensity to induce essentially complete conversion of polymerizable groups on the monomer and the crosslinking agent to form a patterned hydrogel, and for the second light intensity to induce partial conversion of the polymerizable groups on the monomer and the crosslinking agent to form partially polymerized polymers that remain soluble. In some embodiments, the first light intensity is lower than the second light intensity. In another aspect, the invention provides methods for forming porous, photopatterned hydrogels.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: March 20, 2007
    Assignee: University of Washington
    Inventors: Stephanie J. Bryant, Kip D. Hauch, Buddy D. Ratner
  • Patent number: 7175968
    Abstract: A substrate is provided with a coating of material which is substantially transparent to the wavelength of the projection beam. The coating may be thicker than the wavelength of the projection beam and have a refractive index of the coating such that the wavelength of the projection beam is shortened as it passes through it. This allows the imaging of smaller features on the substrate. Alternatively, the coating may be used with a liquid supply system and act to keep bubbles away from a radiation sensitive layer of the substrate.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: February 13, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Marcel Mathijs Theodore Marie Dierichs, Johannes Catharinus Hubertus Mulkens, Bob Streefkerk
  • Patent number: 7172788
    Abstract: In order to reduce contamination of optical elements which comprise a multilayer system on a substrate, it is proposed that the layer material and/or the layer thickness of at least one layer of the multilayer system are/is selected such that the standing wave which forms during reflection of the irradiated operating wavelength, forms a node of the electrical field intensity (node condition) in the area of the free interface of the multilayer system. Furthermore, a method for determining a design of a multilayer system, as well as a manufacturing process and a lithography apparatus are described.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: February 6, 2007
    Assignee: Carl Zeiss SMT AG
    Inventors: Andrey E. Yakshin, Eric Louis, Frederik Bijkerk, Marco Wedowski, Roman Klein, Frank Stietz
  • Patent number: 7169545
    Abstract: A resist exposure system and a method of forming a pattern on a resist are provided and include an exposure source, a photoresist composition, and a mask positioned therebetween. The resist composition comprises a first photoresist X and a second photoresist Y. The first photoresist X absorbs at a higher wavelength than the second photoresist Y. The second photoresist Y has a lower glass transitional temperature than the first photoresist X.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: January 30, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Yoshiki Hishiro
  • Patent number: 7153614
    Abstract: A mask for photolithography in which a semi-transmission film is formed so that the phase difference of lights passing through a semi-transmission portion and a transmission portion of the mask for photolithography is between (?¼+2 m).? and (¼+2 m).? inclusive, where m is an integer. The invention makes it possible to efficiently and properly form a thin film having a multi-step structure by a single process.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: December 26, 2006
    Assignee: Sony Corporation
    Inventors: Masato Imai, Akira Maehara, Yoko Fukunaga
  • Patent number: 7144690
    Abstract: The invention includes a photolithographic method in which overlapping first and second exposure patterns are formed on a photosensitive material from light passed through a single reticle. The first exposure pattern of the radiation comprises features separated by about a minimum feature spacing that can be accomplished with a single reticle exposure at the time of the photolithographic processing, and the overlapping first and second patterns comprise features separated by less than the minimum feature spacing. The invention also includes a photolithographic method of forming overlapping exposure patterns on a photosensitive material from light passed through a single reticle wherein the reticle is moved between a first exposure to a first light and a second exposure to a second light.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: December 5, 2006
    Assignee: Micron Technology, Inc.
    Inventors: John F. Van Itallie, Erik Byers
  • Patent number: 7144684
    Abstract: A photomask includes a semi-light-shielding portion having a light-shielding property, a light-transmitting portion surrounded by the semi-light-shielding portion and a peripheral portion positioned in a periphery of the light-transmitting portion on a transparent substrate. The semi-light-shielding portion and the light-transmitting portion transmit the exposure light in the same phase each other, whereas the peripheral portion transmits the exposure light in a phase opposite to that of the light-transmitting portion. A phase shift film that transmits the exposure light in a phase opposite to that of the peripheral portion is formed on the transparent substrate in the semi-light-shielding portion formation region.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: December 5, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akio Misaka
  • Patent number: 7144687
    Abstract: A step of forming an insulating resin layer 31 includes a process of forming the insulating resin layer 31 made of a photo-sensitive resin on a load beam or a flexure 11 and an exposing and developing process in which a photo-mask 32 having different light transmittance between a part corresponding to a part near a slider mounting part 22 and other parts is applied to the insulating resin layer 31, exposed and developed so that the thickness of the insulating resin layer 31 of the part near the slider mounting part 22 is smaller than the thickness of the insulating resin layer 31 of other parts.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: December 5, 2006
    Assignee: TDK Corporation
    Inventors: Hidehiko Fujisaki, Kinnosuke Satou, Osamu Takahashi
  • Patent number: 7125654
    Abstract: A process of manufacturing a liquid crystal display device of transverse electric-field type, where a halftone photomask has a fully light-shielding area preventing UV irradiation of a portion of an active matrix substrate in which a thin-film transistor element is to be formed, so that the photoresist pattern includes a positive resist portion which is formed on the portion of the substrate. The halftone mask further has a fully light-transmitting area which permits full UV transmission therethrough to provide the photoresist pattern with a resist-free area which corresponds to a portion of the substrate in which a contact hole serving as a third connection portion connecting an external scanning-line driver circuit and a scanning-line terminal portion through a junction electrode is to be formed. Also disclosed is a scan-exposing device used in the manufacturing process.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: October 24, 2006
    Assignee: Obayashiseikou Co., Ltd.
    Inventor: Naoto Hirota
  • Patent number: 7125651
    Abstract: In order to suppress or prevent the occurrence of foreign matter in the manufacture of a semiconductor integrated circuit device by the use of a photo mask constituted in such a manner that a resist film is made to function as a light screening film, inspection or exposure treatment is carried out, when the photo mask 1PA1 has been mounted on a predetermined apparatus such as, e.g., an inspection equipment or aligner, in the state in which a mounting portion 2 of the predetermined apparatus is contacted with that region of a major surface of a mask substrate 1a of the photo mask 1PA1 in which a light shielding pattern 1b and a mask pattern 1mr, each formed of a resist film, on the major surface of the mask substrate 1a do not exist.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: October 24, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Norio Hasegawa, Tsuneo Terasawa, Toshihiko Tanaka
  • Patent number: 7118833
    Abstract: A photomask (1900) for producing partial-depth features (712 and 912) in a photo-imageable polymer layer (412) on a wafer of a chip scale package (200) using exposure tools capable of resolving sizes of a critical dimension or larger, has a plurality of chrome lines (2101–2103). Each chrome line has a width (2105) that is less than the critical dimension, and each chrome line of the plurality of chrome lines is spaced apart less than the critical dimension. The plurality of chrome lines produces a single partial-depth feature, such as a via, through part of a thickness of the polymer layer. Alternatively, the photomask has a plurality of chrome circles (2206), each chrome circle having a diameter less than the critical dimension and being spaced apart less than the critical dimension, which produces the partial-depth feature.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: October 10, 2006
    Assignee: FlipChip International, LLC
    Inventors: Peter Elenius, Michael E. Johnson
  • Patent number: 7115886
    Abstract: In-situ cleaning of optical components for use in a lithographic projection apparatus can be carried out by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm, in the presence of molecular oxygen. Generally, the space will be purged with an ozoneless purge gas which contains a small amount of molecular oxygen in addition to the usual purge gas composition. The technique can also be used in an evacuated space by introducing a low pressure of molecular oxygen into the space.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: October 3, 2006
    Assignee: ASML Netherlands B.V.
    Inventors: Willem Van Schaik, Bastiaan Matthias Mertens, Hans Meiling, Norbertus Benedictus Koster
  • Patent number: 7108960
    Abstract: A sensor is used to detect luminescent radiation that is radiated from a reflector as a result of state changes induced by a beam of radiation being incident on an area of the reflector. The intensity of the luminescent radiation at particular wavelengths can be used to determine the intensity of the beam of radiation.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: September 19, 2006
    Assignee: ASML Netherlands B.V.
    Inventor: Marcel Mathijs Theodore Marie Dierichs
  • Patent number: 7107573
    Abstract: A method for setting a mask pattern and an illumination condition suitable for an exposure method for using plural kinds of light to illuminate a mask that arranges a predetermined pattern and an auxiliary pattern smaller than the predetermined pattern, so as to resolve the predetermined pattern without resolving the auxiliary pattern on a target via a projection optical system includes the steps of forming data for the predetermined pattern, forming data for the auxiliary pattern, and setting the illumination condition for defining an effective light source of illumination using the plural kinds of light.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: September 12, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenji Yamazoe, Akiyoshi Suzuki, Kenji Saitoh
  • Patent number: 7105278
    Abstract: A semiconductor pattern mask that might otherwise exhibit three-fold symmetry, which could give rise to distorted semiconductor features in the presence of three-leaf aberration in the optical system used to expose a semiconductor wafer through the mask, is altered to break up the three-fold symmetry without altering the semiconductor features that are formed. This accomplished by adding features to the mask that break up the symmetry. One way of achieving that result is to make the added features of “sub-resolution” size that do not produce features on the exposed wafer. Another way of achieving that result is to change existing features that do form structures in such a way (e.g., with optical elements) that changes the relative phase, amplitude or other characteristic of light transmitted through those features.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: September 12, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Pary Baluswamy, William A. Stanton, William J. Baggenstoss
  • Patent number: 7083900
    Abstract: A method for manufacturing an LCD including at least two stacked thin layers in which the upper thin film smoothly and completely covers the lower thin film includes the steps of coating a photo-resist on a patterned layer, patterning the photo-resist by exposing and developing the photo-resist with a mask which has lines and spaces in which a distance between the lines is smaller than a resolution of an exposure system used and etching the metal layer using the patterned photo-resist as a mask. The resulting photo-resist pattern has a comb shape.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: August 1, 2006
    Assignee: LG Electronics Inc.
    Inventor: Sung Joon Bae
  • Patent number: 7074547
    Abstract: A photomask for use in a semiconductor fabrication process, comprises a plurality of first mask patterns for transferring resist patterns, and second mask patterns for restraining an optical proximity effect, each having a width not larger than a resolution limit. The second mask patterns are formed in a line-like shape, and disposed so as to link together the plurality of the first mask patterns. As a result of use of the second mask patterns in the line-like shape, a fewer parameters may be added in simulation of resist patterns. Thus, it becomes possible to provide the photomask for efficiently performing simulation and forming suitable resist patterns. Further, the photomask can be used in a semiconductor fabrication process.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: July 11, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Daigo Hoshino
  • Patent number: 7045255
    Abstract: A photomask has a semi-light-shielding portion having a light-shielding property and a light-transmitting portion surrounded by the semi-light-shielding portion, and a peripheral portion positioned in the periphery of the light-transmitting portion. The semi-light-shielding portion and the light-transmitting portion transmit exposure light in the same phase, whereas the peripheral portion transmits exposure light in a phase opposite to that of the light-transmitting portion.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: May 16, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akio Misaka
  • Patent number: 7045258
    Abstract: A color filter comprising first filtering units, second filtering units, and third filtering units is provided. The first filtering unit is manufactured according to a number of first pattern of a first mask, wherein each of the first patterns comprises a first main pattern portion and a number of first compensating portions. The second filtering unit is manufactured according to a number of second patterns of the second mask. The first compensating portions enable each first filtering unit to be smoothly coupled with the adjacent second filtering unit.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: May 16, 2006
    Assignee: Himax Technologies Inc.
    Inventors: Wei-Hsiao Chen, Meng-Hsun Hsieh
  • Patent number: 7041436
    Abstract: Method for the Manufacture of Micro Structures A method for the manufacture of micro structures in substrates is provided. The method uses a combination of photolithographic mask technology and micro contact printing.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: May 9, 2006
    Assignee: International Business Machines Corporation
    Inventors: Rainer Klaus Krause, Markus Schmidt
  • Patent number: 7037791
    Abstract: In accordance with the objects of this invention, a new method of fabricating a polysilicon gate transistor is achieved. An alternating aperture phase shift mask (AAPSM) is used to pattern polysilicon gates in a single exposure without a trim mask. A semiconductor substrate is provided. A gate dielectric layer is deposited. A polysilicon layer is deposited. The polysilicon layer, the gate dielectric layer and the semiconductor substrate are patterned to form trenches for planned shallow trench isolations (STI). A trench oxide layer is deposited filling the trenches. The trench oxide layer is polished down to the top surface of the polysilicon layer to complete the STI. A photoresist layer is deposited and patterned to form a feature mask for planned polysilicon gates. The patterning is by a single exposure using an AAPSM mask. Unwanted features in the photoresist pattern that are caused by phase conflicts overlie the STI. The polysilicon layer is etched to form the polysilicon gates.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: May 2, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Lay Cheng Choo, James Yong Meng Lee, Lap Chan
  • Patent number: 7033710
    Abstract: An intensity filter for deep UV lithography enhances contrast and also therefore increases the resolution of patterned images by passing only intensities that fall within a specific minimum threshold value, resulting in a more exact aerial image replicating the mask image. This device is a different approach to contrast enhancement that is distinguished from previous methods by eliminating the need for an extra layer of contrast enhancement on top of the resist, thereby reducing the number of processing steps in semiconductor fabrication.
    Type: Grant
    Filed: February 18, 2003
    Date of Patent: April 25, 2006
    Assignee: LSI Logic Corporation
    Inventor: Kunal N. Taravade