Simultaneously Outdiffusing Plural Dopants From Polysilicon Or Amorphous Semiconductor Patents (Class 438/368)
  • Patent number: 9686457
    Abstract: An image sensor with an array of pixels is provided. To minimize optical and electrical and crosstalk, the array of pixels may include deep trench isolation structures interposed between adjacent pairs of photodiodes. In order to maximize quantum efficiency, the array of pixels may include a reflective stack formed under the photodiodes and the deep trench isolation structures. The deep trench isolation structures may be formed from doped glass, doped polysilicon, or metal having a doped oxide liner. The reflective stack may include multiple layers of materials having different indices of refraction. The reflective stack may include oxide, nitride, and semiconductor layers. The deep trench isolation structures may extend from the top of the photodiodes down to the reflective stack. The deep trench isolation structures may have a 50 to 1 aspect ratio.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: June 20, 2017
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Raminda Madurawe, William George Gazeley
  • Patent number: 8936976
    Abstract: Conductivity improvements in III-V semiconductor devices are described. A first improvement includes a barrier layer that is not coextensively planar with a channel layer. A second improvement includes an anneal of a metal/Si, Ge or SiliconGermanium/III-V stack to form a metal-Silicon, metal-Germanium or metal-SiliconGermanium layer over a Si and/or Germanium doped III-V layer. Then, removing the metal layer and forming a source/drain electrode on the metal-Silicon, metal-Germanium or metal-SiliconGermanium layer. A third improvement includes forming a layer of a Group IV and/or Group VI element over a III-V channel layer, and, annealing to dope the III-V channel layer with Group IV and/or Group VI species. A fourth improvement includes a passivation and/or dipole layer formed over an access region of a III-V device.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: January 20, 2015
    Assignee: Intel Corporation
    Inventors: Marko Radosavljevic, Prashant Majhi, Jack T. Kavalieros, Niti Goel, Wilman Tsai, Niloy Mukherjee, Yong Ju Lee, Gilbert Dewey, Willy Rachmady
  • Patent number: 8552494
    Abstract: Methods of fabricating semiconductor devices are provided. A substrate having active patterns and isolating layer patterns is prepared. Each of the isolating layer patterns has an upper surface higher than that of each of the active patterns. A spacer layer having a uniform thickness is formed on the substrate. The spacer layer is etched to form a spacer on a sidewall of each of the isolating layer patterns. A gate structure is formed on each of the active patterns. A selective epitaxial growth (SEG) process is performed on the active patterns having the gate structure to form isolated epitaxial layers that have upper surfaces higher than those of the isolating layer patterns, on the active patterns. Related semiconductor devices are also provided.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Bum Kim, Young-Pil Kim, Jung-Yun Won, Hion-Suck Baik, Jun-Ho Lee
  • Patent number: 8421183
    Abstract: A structure includes a substrate comprising a region having a circuit or device which is sensitive to electrical noise. Additionally, the structure includes a first isolation structure extending through an entire thickness of the substrate and surrounding the region and a second isolation structure extending through the entire thickness of the substrate and surrounding the region.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Hanyi Ding, Kai D. Feng, Zhong-Xiang He, Xuefeng Liu
  • Patent number: 8409959
    Abstract: Methods, devices, and systems for using and forming vertically base-connected bipolar transistors have been shown. The vertically base-connected bipolar transistors in the embodiments of the present disclosure are formed with a CMOS fabrication technique that decreases the transistor size while maintaining the high performance characteristics of a bipolar transistor.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: April 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Badih El-Kareh, Leonard Forbes, Kie Y. Ahn
  • Patent number: 8377788
    Abstract: A SiGe heterojunction bipolar transistor is fabricated by etching an epitaxially-formed structure to form a mesa that has a collector region, a cap region, and a notched SiGe base region that lies in between. A protective plug is formed in the notch of the SiGe base region so that thick non-conductive regions can be formed on the sides of the collector region and the cap region. Once the non-conductive regions have been formed, the protective plug is removed. An extrinsic base is then formed to lie in the notch and touch the base region, followed by the formation of isolation regions and an emitter region.
    Type: Grant
    Filed: November 15, 2010
    Date of Patent: February 19, 2013
    Assignee: National Semiconductor Corporation
    Inventors: Wibo Van Noort, Jamal Ramdani, Andre Labonte, Donald Robertson Getchell
  • Patent number: 8309446
    Abstract: Embodiments of the invention contemplate the formation of a high efficiency solar cell using a novel processing sequence to form a solar cell device. In one embodiment, the methods include forming a doping layer on a back surface of a substrate, heating the doping layer and substrate to cause the doping layer diffuse into the back surface of the substrate, texturing a front surface of the substrate after heating the doping layer and the substrate, forming a dielectric layer on the back surface of the substrate, removing portions of the dielectric layer from the back surface to from a plurality of exposed regions of the substrate, and depositing a metal layer over the back surface of the substrate, wherein the metal layer is in electrical communication with at least one of the plurality of exposed regions on the substrate, and at least one of the exposed regions has dopant atoms provided from the doping layer.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: November 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Rohit Mishra, Michael P. Stewart, Yonghwa Chris Cha, Kapila P. Wijekoon, Hongbin Fang
  • Patent number: 8227305
    Abstract: A memory array with data/bit lines extending generally in a first direction formed in an upper surface of a substrate and access transistors extending generally upward and aligned generally atop a corresponding data/bit line. The access transistors have a pillar extending generally upward with a source region formed so as to be in electrical communication with the corresponding data/bit line and a drain region formed generally at an upper portion of the pillar and a surround gate structure substantially completely encompassing the pillar in lateral directions and extending substantially the entire vertical extent of the pillar and word lines extending generally in a second direction and in electrical contact with a corresponding surround gate structure at least a first surface thereof such that bias voltage applied to a given word line is communicated substantially uniformly in a laterally symmetric extent about the corresponding pillar via the surround gate structure.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: July 24, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 8071455
    Abstract: Regions of an integrated circuit are isolated by a structure that includes at least one isolating trench on the periphery of an active area. The trench is deep, extending at least about 0.5 ?m into the substrate. The isolating structure prevents photons and electrons originating in peripheral circuitry from reaching the active area. Where the substrate has a heavily-doped lower layer and an upper layer on it, the trench can extend through the upper layer to the lower layer. A thermal oxide can be grown on the trench walls. A liner can also be deposited on the sidewalls of each trench. A fill material having a high-extinction coefficient is then deposited over the liner. The liner can also be light absorbent so that both the liner and fill material block photons.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: December 6, 2011
    Assignee: Aptina Imaging Corporation
    Inventors: Bryan G. Cole, Troy Sorensen
  • Patent number: 7915163
    Abstract: The invention provides for polysilicon vias connecting conductive polysilicon layers formed at different heights. Polysilicon vias are advantageously used in a monolithic three dimensional memory array of charge storage transistors. Polysilicon vias according to the present invention can be used, for example, to connect the channel layer of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells formed above the first device level. Similarly, vias according to the present invention can be used to connect the wordline of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: March 29, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Michael W. Konevecki, Usha Raghuram, Maitreyee Mahajani, Sucheta Nallamothu, Andrew J. Walker, Tanmay Kumar
  • Patent number: 7902051
    Abstract: The present invention, in one embodiment, provides a method of producing a PN junction the method including providing a single crystal substrate; forming an insulating layer on the single crystal substrate; forming a via through the insulating layer to provide an exposed portion of the single crystal substrate; forming amorphous Si on at least the exposed portion of the single crystal substrate; converting at least a portion of the amorphous Si into single crystal Si; and forming dopant regions in the single crystal Si. In one embodiment the diode of the present invention is integrated with a memory device.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: March 8, 2011
    Assignees: International Business Machines Corporation, Qimonda AG, Macronix International Co., Ltd.
    Inventors: Bipin Rajendran, Thomas Happ, Hsiang-Lan Lung
  • Patent number: 7867865
    Abstract: Methods of fabricating semiconductor devices are provided. A substrate having active patterns and isolating layer patterns is prepared. Each of the isolating layer patterns has an upper surface higher than that of each of the active patterns. A spacer layer having a uniform thickness is formed on the substrate. The spacer layer is etched to form a spacer on a sidewall of each of the isolating layer patterns. A gate structure is formed on each of the active patterns. A selective epitaxial growth (SEG) process is performed on the active patterns having the gate structure to form isolated epitaxial layers that have upper surfaces higher than those of the isolating layer patterns, on the active patterns. Related semiconductor devices are also provided.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: January 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Bum Kim, Young-Pil Kim, Jung-Yun Won, Hion-Suck Baik, Jun-Ho Lee
  • Patent number: 7842940
    Abstract: A semiconducting material that has all the advantages of prior art SOI substrates including, for example, low parasitic capacitance and leakage, without having floating body effects is provided. More specifically, the present invention provides a Semiconductor-on-Pores (SOP) material that includes a top semiconductor layer and a bottom semiconductor layer, wherein the semiconductor layers are separated in at least one region by a porous semiconductor material. Semiconductor structures including the SOP material as a substrate as well as a method of fabricating the SOP material are also provided. The method includes forming a p-type region with a first semiconductor layer, converting the p-type region to a porous semiconductor material, sealing the upper surface of the porous semiconductor material by annealing, and forming a second semiconductor layer atop the porous semiconductor material.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Joel P. de Souza, Keith E. Fogel, Brian J. Greene, Devendra K. Sadana, Haining S. Yang
  • Patent number: 7821044
    Abstract: Embodiments are an improved transistor structure and the method of fabricating the structure. In particular, a wet etch of an embodiment forms source and drain regions with an improved tip shape to improve the performance of the transistor by improving control of short channel effects, increasing the saturation current, improving control of the metallurgical gate length, increasing carrier mobility, and decreasing contact resistance at the interface between the source and drain and the silicide.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: October 26, 2010
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Steven J. Keating, Thomas A. Letson, Anand S. Murthy, Donald W. O'Neill, Willy Rachmady
  • Patent number: 7786553
    Abstract: Method of fabricating thin-film transistors in which contact with connecting electrodes becomes reliable. When contact holes are formed, the bottom insulating layer is subjected to a wet etching process, thus producing undercuttings inside the contact holes. In order to remove the undercuttings, a light etching process is carried out to widen the contact holes. Thus, tapering section are obtained, and the covering of connection wiring is improved.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: August 31, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hongyong Zhang
  • Patent number: 7566919
    Abstract: A method for forming an epitaxial base layer in a bipolar device. The method comprises the steps of: providing a structure having a field isolation oxide region (12) adjacent to an active silicon region (10); forming a silicon nitride/silicon stack (14, 16) above the field isolation oxide region (12), wherein the silicon nitride/silicon stack (14, 16) includes a top layer of silicon (14) and a bottom layer of silicon nitride (16); performing an etch to the silicon nitride/silicon stack (14, 16) to form a stepped seed layer, wherein the top layer of silicon is etched laterally at the same time the bottom layer of silicon nitride is etched; and growing an Si/SiGe/Si stack (20) over the stepped seed layer and active region (10).
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: July 28, 2009
    Assignee: NXP B.V.
    Inventors: Johannes Josephus Theodorus Marinus Donkers, Petrus Hubertus Cornelis Magnee, Eddy Kunnen, Francois Igor Neuilly
  • Patent number: 7538004
    Abstract: A heterojunction bipolar transistor is formed in a semiconductor substrate of a first conductivity type including a collector region. A base region is formed on the substrate and an emitter region is formed over the base region. At least one of the collector, base and emitter regions includes a first region doped with an impurity having a first concentration and a second region doped with the impurity having a second concentration. Noise performance and reliability of the heterojunction bipolar transistor is improved without degrading ac performance.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: May 26, 2009
    Assignee: International Business Machines Corporation
    Inventors: Peter J. Geiss, Alvin J. Joseph, Rajendran Krishnasamy, Xuefeng Liu
  • Patent number: 7534691
    Abstract: Regions of an integrated circuit are isolated by a structure that includes at least one isolating trench on the periphery of an active area. The trench is deep, extending at least about 0.5 ?m into the substrate. The isolating structure prevents photons and electrons originating in peripheral circuitry from reaching the active area. Where the substrate has a heavily-doped lower layer and an upper layer on it, the trench can extend through the upper layer to the lower layer. A thermal oxide can be grown on the trench walls. A liner can also be deposited on the sidewalls of each trench. A fill material having a high-extinction coefficient is then deposited over the liner. The liner can also be light absorbent so that both the liner and fill material block photons.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: May 19, 2009
    Assignee: Aptina Imaging Corporation
    Inventors: Bryan G. Cole, Troy Sorensen
  • Patent number: 7446009
    Abstract: A semiconductor device manufacturing method including forming a conductive layer and a silicon film on a semiconductor substrate including an active region, forming an emitter electrode containing a first impurity on the silicon film above the active region, partially etching the silicon film using the emitter electrode as a mask, forming an insulative film covering the semiconductor substrate and a side wall film covering a side surface of the emitter electrode, introducing a second impurity into the conductive layer and silicon film so that the second impurity reaches the active region to form an impurity region containing the second impurity in parts of the conductive layer and silicon film, and diffusing the first impurity contained in the emitter electrode into the silicon film to form in the silicon film a first region containing the first impurity and a second region free of the first impurity.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: November 4, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Daichi Suma, Yoshikazu Ibara, Tatsuhiko Koide, Koichi Saito
  • Patent number: 7410878
    Abstract: A method of forming a polysilicon film having smooth surface using a lateral growth and a step-and-repeat laser process. Amorphous silicon formed in a first irradiation region of a substrate is crystallized to form a first polysilicon region by a first laser shot. Then, the substrate is moved a predetermined distance, and irradiated by a second laser shot. The polysilicon region is then recrystallized and locally planarized by subsequent laser shots. After multiple repetitions of the irradiation procedure, the amorphous silicon film formed on a substrate is completely transformed into a polysilicon film. The polysilicon film includes lateral growth crystal grains and nano-trenches formed in parallel on the surface of the polysilicon film. A longitudinal direction of the nano-trenches is substantially perpendicular to a lateral growth direction of the crystal grains.
    Type: Grant
    Filed: October 9, 2006
    Date of Patent: August 12, 2008
    Assignee: AU Optronics Corp.
    Inventors: Chih-Wei Gordon Chao, Ming-Wei Sun
  • Patent number: 7338875
    Abstract: Formation of elements of a vertical bipolar transistor is described, in particular a vertical npn transistor formed on a p-type substrate. Accordingly, an improved method not limited by constraints of photolithography, and an ensuing device made by such methods, is described. A temporary spacer (e.g., an oxide spacer) is deposited over a dielectric separation layer. The temporary spacer and dielectric separation layers are then anisotropically etched, forming a dielectric “boot shape” on a lower edge of the dielectric separation layer. An area within this non-photolithographically produced boot region defines an emitter contact window. Since the boot tip is formed through deposition and etching techniques, the emitter window is automatically aligned (i.e., self-aligned) with an underlying base region. Feature sizes are determined by deposition and etching techniques. Consequently, photolithography of small features is eliminated.
    Type: Grant
    Filed: October 9, 2006
    Date of Patent: March 4, 2008
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7232732
    Abstract: Formation of elements of a vertical bipolar transistor is described, in particular a vertical npn transistor formed on a p-type substrate. Accordingly, an improved method not limited by constraints of photolithography, and an ensuing device made by such methods, is described. A temporary spacer (e.g., an oxide spacer) is deposited over a dielectric separation layer. The temporary spacer and dielectric separation layers are then anisotropically etched, forming a dielectric “boot shape” on a lower edge of the dielectric separation layer. An area within this non-photolithographically produced boot region defines an emitter contact window. Since the boot tip is formed through deposition and etching techniques, the emitter window is automatically aligned (i.e., self-aligned) with an underlying base region. Feature sizes are determined by deposition and etching techniques. Consequently, photolithography of small features is eliminated.
    Type: Grant
    Filed: October 6, 2003
    Date of Patent: June 19, 2007
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7217609
    Abstract: A method in the fabrication of an integrated bipolar circuit comprises the steps of: providing a p-type substrate; forming in the substrate a buried n+-type region and an n-type region above the buried n+-type region; forming field isolation areas around the n-type region; forming a PMOS gate region on the n-type region; forming a diffused n+-type contact from the upper surface of the substrate to the buried n+-type region; the contact being separated from the n-type region; forming a p-type polysilicon source on the n-type region; forming a p-type source in the n-type region; forming a p-type drain in the n-type region; and connecting the PMOS transistor structure to operate as a PNP transistor, wherein the source is connected to the gate and constitutes an emitter of the PNP transistor; the drain constitutes a collector of the PNP transistor; and the n-type region constitutes a base of the PNP transistor.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: May 15, 2007
    Assignee: Infineon Technologies AG
    Inventors: Hans Norström, Ted Johansson
  • Patent number: 7211877
    Abstract: A semiconductor package by which contacts are made to both sides of the dice is manufactured on a wafer scale. The back side of the wafer is attached to a metal plate. The scribe lines separating the dice are saw cut to expose the metal plate but the cuts do not extend through the metal plate. A metal layer, which may include a number of sublayers, is formed on the front side of the dice, the metal covering the exposed portions of the metal plate and extending the side edges of the dice. Separate sections of the metal layer may also cover connection pads on the front side of the dice. A second set of saw cuts are made coincident with the first set of saw cuts, using a blade that is narrower than the blade used to make the first set of saw cuts. As a result, the metal layer remains on the side edges of the dice connecting the back and front sides of the dice (via the metal plate).
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: May 1, 2007
    Assignee: Vishay-Siliconix
    Inventors: Felix Zandman, Y. Mohammed Kasem, Yueh-Se Ho
  • Patent number: 7169674
    Abstract: A diffusion barrier (and method for forming the diffusion barrier) for a field-effect transistor having a channel region and a gate electrode, includes an insulating material being disposed over the channel region. The insulating material includes nitrogen (N), and is disposed under the gate electrode. The insulating material can be provided either as a layer or distributed within a gate dielectric material disposed under the gate electrode.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: January 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Nestor Alexander Bojarczuk, Jr., Kevin Kok Chan, Christopher Peter D'Emic, Evgeni Gousev, Supratik Guha, Paul C. Jamison, Lars-Ake Ragnarsson
  • Patent number: 7112500
    Abstract: The present invention provides a thin film transistor comprising a drain electrode and a source electrode separated by a channel region formed over a contact portion with an amorphous silicon layer and wherein an impurity from the channel region is removed and a remaining impurity is diffused into the contact portion to form a contact layer wherein the contact layer has a second resistance at least lower than the first resistance.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: September 26, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Masahiko Ando, Masahiro Kawasaki, Masatoshi Wakagi
  • Patent number: 7105415
    Abstract: The invention relates to a method for producing a bipolar transistor. A semiconductor substrate is provided that encompasses a collector area of a first conductivity type, which is embedded therein and is bare towards the top. A monocrystalline base area is provided and a base-connecting area of the second conductivity type is provided above the base area. An insulating area is provided above the base-connecting area and a window is formed in the insulating area and the base-connecting area so as to at least partly expose the base area. An insulating sidewall spacer is provided in the window in order to insulate the base-connecting area. An emitter layer which forms a monocrystalline emitter area above the base area and a polycrystalline emitter area above the insulating area and the sidewall spacer is differentially deposited and structured, and a tempering step is carried out.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: September 12, 2006
    Assignee: Infineon Technologies AG
    Inventors: Josef Bock, Thomas Meister, Reinhard Stengl, Herbert Schafer
  • Patent number: 7005359
    Abstract: A bipolar transistor and its fabrication are described. The extrinsic base region is formed by growing a second, more heavily doped, epitaxial layer over a first epitaxial layer. The second layer extends under, and is insulated from, an overlying polysilicon emitter pedestal.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: February 28, 2006
    Assignee: Intel Corporation
    Inventors: Shahriar Ahmed, Ravindra Soman, Anand Murthy, Mark Bohr
  • Patent number: 6881987
    Abstract: The present invention provides a p-channel metal-oxide-semiconductor (pMOS) device having an ultra shallow epi-channel satisfying a high doping concentration required for a device of which gate length is about 30 nm even without using a HALO doping layer and a method for fabricating the same. The pMOS device includes: a semiconductor substrate; a channel doping layer being formed in a surface of the semiconductor substrate and being dually doped with dopants having different diffusion rates; a silicon epi-layer being formed on the channel doping layer, whereby constructing an epi-channel along with the channel doping layer; a gate insulating layer formed on the silicon epi-layer; a gate electrode formed on the gate insulating layer; a source/drain extension region highly concentrated and formed in the semiconductor substrate of lateral sides of the epi-channel; and a source/drain region electrically connected to the source/drain extension region and deeper than the source/drain region.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: April 19, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong-Sun Sohn
  • Patent number: 6872638
    Abstract: A method of performing irradiation of laser light is given as a method of crystallizing a semiconductor film. However, if laser light is irradiated to a semiconductor film, the semiconductor film is instantaneously melted and expands locally. The temperature gradient between a substrate and the semiconductor film is precipitous, distortions may develop in the semiconductor film. Thus, the film quality of the crystalline semiconductor film obtained will drop in some cases. With the present invention, distortions of the semiconductor film are reduced by heating the semiconductor film using a heat treatment process after performing crystallization of the semiconductor film using laser light. Compared to the localized heating due to the irradiation of laser light, the heat treatment process is performed over the entire substrate and semiconductor film. Therefore, it is possible to reduce distortions formed in the semiconductor film and to increase the physical properties of the semiconductor film.
    Type: Grant
    Filed: February 20, 2002
    Date of Patent: March 29, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Mitsuki, Tamae Takano
  • Patent number: 6869854
    Abstract: The present invention provides a unique device structure and method that provides increased transistor performance in integrated bipolar circuit devices. The preferred embodiment of the present invention provides improved high speed performance by providing reduced base resistence. The preferred design forms the extrinsic base by diffusing dopants from a dopant source layer and into the extrinsic base region. This diffusion of dopants forms at least a portion of the extrinsic base. In particular, the portion adjacent to the intrinsic base region is formed by diffusion. This solution avoids the problems caused by traditional solutions that implanted the extrinsic base. Specifically, by forming at least a portion of the extrinsic base by diffusion, the problem of damage to base region is minimized. This reduced damage enhances dopant diffusion into the intrinsic base. Additionally, the formed extrinsic base can have improved resistence, resulting in an improved maximum frequency for the bipolar device.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Marc W. Cantell, James S. Dunn, David L. Harame, Robb A. Johnson, Louis D. Lanzerotti, Stephen A. St. Onge, Brian L. Tessier, Ryan W. Wuthrich
  • Patent number: 6800541
    Abstract: A method of irradiation of plural pulse laser beams onto one position of a non-single crystal semiconductor, wherein the pulse laser beams are not higher in energy density than an energy density threshold value necessary for causing a micro-crystallization of the non-single crystal semiconductor.
    Type: Grant
    Filed: October 4, 2002
    Date of Patent: October 5, 2004
    Assignee: NEC Corporation
    Inventor: Hiroshi Okumura
  • Patent number: 6790737
    Abstract: A method for producing metal layers on surfaces of semiconductor substrates includes the step of providing a semiconductor substrate having a surface. In this case, a precursor compound of a metal to be deposited is condensed out on the semiconductor surface and subsequently decomposed thermally. The method makes it possible to fill trenches with a high aspect ratio, it being possible to effectively suppress the formation of voids.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: September 14, 2004
    Assignee: Infineon Technologies AG
    Inventors: Manfred Schneegans, Wolfgang Jaeger, Michael Rogalli
  • Publication number: 20040126979
    Abstract: A bipolar transistor having a base semiconductor layer structure to minimize base parasitic resistance and a method of manufacturing the bipolar transistor are provided. In the provided bipolar transistor, a collector region of a second conductivity type, which is defined by isolation regions, is formed on a semiconductor substrate of a first conductivity type. A first base semiconductor layer of the first conductivity type extends from the upper surface of the collector region to the upper surface of the isolation regions. Here, the first base semiconductor layer is formed of a silicon germanium (SiGe) layer. Second base semiconductor layers of the first conductivity type are formed on the portions of the first base semiconductor layer except for the portions having the emitter region and the emitter insulating layers. Base ohmic layers are formed on the second base semiconductor layers.
    Type: Application
    Filed: September 9, 2003
    Publication date: July 1, 2004
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Kang-wook Park, Bong-kil Yang
  • Patent number: 6740560
    Abstract: The aim of the invention is to provide for a bipolar transistor and a method for producing the same. Said bipolar transistor should have minimal base-emitter capacities and very good high frequency characteristics. The static characteristics, especially the base current ideality and the low frequency noise, of a bipolar transistor with weakly doped cap layer (116) should not significantly deteriorate and process complexity should not increase. According to the invention, the problem is solved by inserting a special doping profile in a cap layer (116) (cap doping) which has been produced epitaxially. A minimal base emitter capacity and very good high frequency characteristics can be obtained by means of said doping profile. At the same time, the efficiency of the generation/recombination active boundary surface between the cap layer (116) and the isolator (117) in the polysilicon overlapping area in the relevant working area of the transistor is reduced and the base current ideality is improved.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: May 25, 2004
    Assignee: Institut fuer Halbleiterphysik Frankfurt (Oder) GmbH
    Inventors: Bernd Heinemann, Karl-Ernst Ehwald, Dieter Knoll
  • Patent number: 6656812
    Abstract: A vertical bipolar transistor includes a semiconductor substrate, an extrinsic collector layer in the semiconductor substrate, an intrinsic collector on the extrinsic collector, a lateral isolating region surrounding an upper part of the intrinsic collector, an offset extrinsic collector well, a base including a semiconductor region above the intrinsic collector and above the lateral isolating region including at least one silicon layer, and a doped emitter surrounded by the base. The doped emitter may include first and second parts. The first part may be formed from single-crystal silicon and in direct contact with the upper surface of the semiconductor region in a predetermined window in the upper surface above the intrinsic collector. The second part may be formed from polycrystalline silicon. The two parts of the emitter may be separated by a separating oxide layer spaced apart from the emitter-base junction of the transistor.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: December 2, 2003
    Assignee: STMicroelectronics SA
    Inventors: Michel Marty, Didier Dutartre, Alain Chantre, Sébastien Jouan, Pierre Llinares
  • Patent number: 6642121
    Abstract: A method of controlling the quantity and uniformity of distribution of bonded oxygen atoms at the interface between the polysilicon and the monocrystalline silicon includes carrying out, after having loaded the wafer inside the heated chamber of the reactor and evacuated the chamber of the LPCVD reactor under nitrogen atmosphere, a treatment of the wafer with hydrogen at a temperature generally between 500 and 1200° C. and at a vacuum generally between 0.1 Pa and 60000 Pa. The treatment is performed at a time generally between 0.1 and 120 minutes, to remove any and all the oxygen that may have combined with the silicon on the surface of the monocrystalline silicon during the loading inside the heated chamber of the reactor even if it is done under a nitrogen flux. After such a hydrogen treatment, another treatment is carried out substantially under the same vacuum conditions and at a temperature generally between 700 and 1000° C. with nitrogen protoxide (N2O) for a time generally between 0.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: November 4, 2003
    Assignee: STMicroelectronics S.r.l.
    Inventors: Cateno M. Camalleri, Simona Lorenti, Denise Cali′, Patrizia Vasquez, Giuseppe Ferla
  • Patent number: 6617220
    Abstract: An epitaxial base bipolar transistor including an epitaxial single crystal layer on a single crystal single substrate; a raised emitter on a portion of the single crystal layer; a raised extrinsic base on a surface of the semiconductor substrate; an insulator between the raised emitter and the raised extrinsic base, wherein the insulator is a spacer; and a diffusion from the raised emitter and from the raised extrinsic base to provide an emitter diffusion and an extrinsic base diffusion in the single crystal layer, wherein the emitter diffusion has an emitter diffusion junction depth.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: September 9, 2003
    Assignee: International Business Machines Corporation
    Inventors: James Stuart Dunn, David L. Harame, Jeffrey Bowman Johnson, Robb Allen Johnson, Louis DeWolf Lanzerotti, Stephen Arthur St. Onge
  • Publication number: 20030013262
    Abstract: A method of fabricating an integrated circuit including a monocrystalline silicon substrate, a layer of polycrystalline silicon on the top surface of the substrate and doped with at least two dopants with different rates of diffusion, in which method annealing is performed at a temperature and for a time such that a first dopant diffuses into a first zone and a second dopant diffuses into a second zone larger than the first zone.
    Type: Application
    Filed: June 13, 2002
    Publication date: January 16, 2003
    Applicant: STMICROELECTRONICS S.A.
    Inventors: Olivier Menut, Herve Jaouen
  • Patent number: 6506655
    Abstract: A method of manufacturing a bipolar transistor in an N-type semiconductor substrate, including the steps of depositing a first base contact polysilicon layer and doping it; depositing a second silicon oxide layer; forming in the first and second layers an opening; annealing to form a third thin oxide layer and harden the second oxide layer; implanting a P-type dopant; depositing a fourth silicon nitride layer; depositing a fifth silicon oxide layer and etching it; anisotropically etching the fifth, fourth, and third layers; performing cleanings during which the fifth layer is reetched and takes a flared profile; depositing a sixth polysilicon layer; and implanting an N-type dopant.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: January 14, 2003
    Assignee: STMicroelectronics S.A.
    Inventors: Yvon Gris, Germaine Troillard
  • Patent number: 6319786
    Abstract: The manufacturing of a bipolar transistor, including the steps of depositing a P-type polysilicon layer and an insulating layer on an N-type substrate; defining in said layers a base-emitter opening; performing a P-type doping and annealing to form a heavily-doped region partially extending under the periphery of the polysilicon layer; forming a spacer in an insulating material inside the opening; isotropically etching the silicon across a thickness greater than that of the heavily-doped region to form a recess; conformally forming by selective epitaxy a P-type silicon layer to form the transistor base layer; and depositing N-type heavily-doped polysilicon to form the transistor emitter.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: November 20, 2001
    Assignee: STMicroelectronics S.A.
    Inventor: Yvon Gris
  • Patent number: 6248650
    Abstract: A bipolar transistor includes a collector region, an intrinsic base region within the collector region, an extrinsic base region within the collector region. and a base link-up region within the collector region between the intrinsic base region and the extrinsic base region. An emitter region is positioned within the intrinsic base region. A base electrode overlays and is in electrical communication with a portion of the extrinsic base region and the base link-up region, and a doped inter-polysilicon dielectric layer overlays a portion of the base electrode. A capping layer is positioned above the inter-polysilicon dielectric layer; and an emitter electrode overlays the inter-polysilicon dielectric layer and the emitter region. The doped inter-polysilicon dielectric layer is the dopant source for forming the extrinsic base region and the base link-up region.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: June 19, 2001
    Assignee: Texas Instruments Incorporated
    Inventor: F. Scott Johnson
  • Patent number: 6194280
    Abstract: A bipolar transistor includes a collector region, an intrinsic base region within the collector region, an extrinsic base region within the collector region, and a base link-up region within the collector region between the intrinsic base region and the extrinsic base region. An emitter region is positioned within the intrinsic base region. A base electrode overlays and is in electrical communication with a portion of the extrinsic base region and the base link-up region, and a doped inter-polysilicon dielectric layer overlays a portion of the base electrode. A capping layer is positioned above the inter-polysilicon dielectric layer; and an emitter electrode overlays the inter-polysilicon dielectric layer and the emitter region. The doped inter-polysilicon dielectric layer is the dopant source for forming the extrinsic base region and the base link-up region.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: February 27, 2001
    Assignee: Texas Instruments Incorporated
    Inventor: F. Scott Johnson
  • Patent number: 6180442
    Abstract: The present invention relates to a method for fabricating an integrated circuit including an NPN-type bipolar transistor, including the steps of defining a base-emitter location of the transistor with polysilicon spacers resting on a silicon nitride layer; overetching the silicon nitride under the spacers; filling the overetched layer with highly-doped N-type polysilicon; depositing an N-type doped polysilicon layer; and diffusing the doping contained in the third and fourth layers to form the emitter of the bipolar transistor.
    Type: Grant
    Filed: November 13, 1997
    Date of Patent: January 30, 2001
    Assignee: SGS-Thomson Microelectronics S.A.
    Inventor: Yvon Gris
  • Patent number: 6156594
    Abstract: The present invention relates to a method for fabricating an integrated circuit including MOS transistors and a bipolar transistor of NPN type, including the steps of: forming the MOS transistors, covering the entire structure with a protection layer, opening the protection layer at the base-emitter location of the bipolar transistor, forming a first P-type doped layer of polysilicon, a second layer of silicon nitride and a second oxide layer, opening these last three layers at the center of the emitter-base region of the bipolar transistor, and depositing a third silicon nitride layer, forming spacers, removing the apparent parts of the third layer of silicon nitride, and depositing a third N-type doped polysilicon layer.
    Type: Grant
    Filed: November 13, 1997
    Date of Patent: December 5, 2000
    Assignee: SGS-Thomson Microelectronics S.A.
    Inventor: Yvon Gris
  • Patent number: 6130136
    Abstract: A method for fabricating a spacer in a transistor. The method comprises the steps of forming a stepped feature 384, 386 at a surface of a semiconductor body 340, the stepped feature having a lateral face substantially parallel to the surface and an angled face substantially perpendicular to the surface. An insulating layer 410 is formed over the lateral and angled faces of the stepped feature 384, 386 and a sacrificial layer 404 is formed over the insulating layer and over the lateral and angled faces of the stepped feature. The portion of the sacrificial layer over the lateral face is removed to expose portions of the insulating layer and to leave a portion of the sacrificial layer to cover the angled face of the stepped feature. Finally, the exposed portions of the insulating layer are removed to leave an L-shaped insulator layer, such as may be useful to insulate the base electrode from the emitter electrode in a bipolar transistor.
    Type: Grant
    Filed: November 19, 1998
    Date of Patent: October 10, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Frank S. Johnson, Peter S. McAnally
  • Patent number: 6114208
    Abstract: A method for fabricating complementary metal-oxide-semiconductor (CMOS) devices and circuits resulting therefrom are provided. The method includes forming the source and drain regions of the CMOS device by out-diffusion of ions injected into a conductive spacer. The method also includes forming the gate electrode after the source and drain regions have been activated by heat treatment. By forming the gate electrode after heat treating the source and drain regions, the material used to form the gate electrode is not distorted due to heat.
    Type: Grant
    Filed: January 14, 1998
    Date of Patent: September 5, 2000
    Assignee: Samsun Electronics, Co., Ltd.
    Inventors: Seung-Jin Park, Ji-Hyoung Yoo
  • Patent number: 6080601
    Abstract: The dynamic range is increased and the noise level is reduced in a bipolar-based active pixel sensor cell with a capacitively coupled base region by forming the capacitor over a portion of the base region and the field oxide region of the cell. In addition, the noise levels are also reduced by heavily-doping the material which forms a portion of the bottom plate of the capacitor with the same conductivity type as the base region of the cell, and by placing the material which forms the portion of the bottom plate in direct contact with the base region.
    Type: Grant
    Filed: July 14, 1998
    Date of Patent: June 27, 2000
    Assignee: National Semiconductor Corporation
    Inventors: Albert Bergemont, Min-hwa Chi
  • Patent number: 6077752
    Abstract: A method of manufacturing a bipolar transistor having a self-registered base-emitter structure is provided.
    Type: Grant
    Filed: May 19, 1998
    Date of Patent: June 20, 2000
    Assignee: Telefonaktiebolaget LM Ericsson
    Inventor: Hans Norstrom
  • Patent number: 6004855
    Abstract: A process for producing a small shallow-depth high-performance bipolar structure having low parasitic capacitance is disclosed wherein an active base region of a P-type material is first defined in a substrate, a portion of which is of N-type material in a device formation area surrounded by an isolating oxide regions, such as trenches or the like. An N-doped polysilicon layer is then defined over the active base region and over field oxide regions located atop the isolating trenches. This N-poly region, when treated, will provide an interdigitated collector with self aligning emitter region aligned over the active base region. After appropriate spaced isolation layers are placed, a P-poly layer is laid down and heat treated to cause the P-type doping material to diffuse into the substrate contact to the active base region. A thin buried collector layer, approximately 1.
    Type: Grant
    Filed: October 29, 1997
    Date of Patent: December 21, 1999
    Assignee: Synergy Semiconductor Corporation
    Inventors: Larry Joseph Pollock, George William Brown