Of Semiconductor Layer On Insulating Substrate Or Layer Patents (Class 438/517)
  • Patent number: 7329594
    Abstract: An impurity of one conductivity type is ionized and accelerated by electric field before being implanted into a semiconductor layer to form a high concentration impurity region near its surface. Then the semiconductor layer is irradiated with continuous wave laser light for melting and crystallization or recrystallization, through which a region where the concentration of the impurity is constant is formed in the semiconductor layer. The continuous wave laser light irradiation may bring the semiconductor layer to the crystalline phase from the amorphous phase as long as the impurity element is re-distributed. The impurity is segregated through this process to newly create a high concentration region. However, this region is removed and no problem arises.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: February 12, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akihisa Shimomura, Osamu Nakamura, Tatsuya Arao, Hidekazu Miyairi, Atsuo Isobe, Tamae Takano, Kouki Inoue
  • Publication number: 20070298597
    Abstract: A method for manufacturing a semiconductor device includes the step of depositing a doped silicon layer doped with a first-conductivity-type dopant and a non-doped silicon layer to form a layered silicon film, implanting a first-conductivity-type dopant into a portion of the layered silicon film disposed in a first region, implanting a second-conductivity-type dopant into a portion of the layered silicon film disposed in a second region, and heat treating the layered silicon film to form a first-conductivity-type silicon film in the first region and a second-conductivity-type silicon film in the second region.
    Type: Application
    Filed: June 19, 2007
    Publication date: December 27, 2007
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kanta Saino
  • Patent number: 7307467
    Abstract: A voltage divider device includes a double gate field effect transistor (FET) having a first gate and a second gate disposed at opposite sides of a body region. An input voltage is coupled between the first and second gates, and an output voltage is taken from at least one of a source of the FET and a drain of the FET, wherein the output voltage represents a divided voltage with respect to the input voltage.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: December 11, 2007
    Assignee: International Business Machines Corporation
    Inventors: Kenneth J. Goodnow, Joseph A. Iadanza, Edward J. Nowak, Douglas W. Stout
  • Publication number: 20070281172
    Abstract: Systems and methods for and products of a semiconductor-on-insulator (SOI) structure including subjecting at least one unfinished surface to a laser annealing process. Production of the SOI structure further may include subjecting an implantation surface of a donor semiconductor wafer to an ion implantation process to create an exfoliation layer in the donor semiconductor wafer; bonding the implantation surface of the exfoliation layer to an insulator substrate; separating the exfoliation layer from the donor semiconductor wafer, thereby exposing at least one cleaved surface; and subjecting the at least one cleaved surface to the laser annealing process.
    Type: Application
    Filed: March 21, 2007
    Publication date: December 6, 2007
    Inventors: James Gregory Couillard, Philippe Lehuede, Sophie A. Vallon
  • Patent number: 7294535
    Abstract: There is provided a technique to form a single crystal semiconductor thin film or a substantially single crystal semiconductor thin film. A heat treatment is carried out for an amorphous semiconductor thin film, to thereby obtain a crystalline semiconductor thin film. After the crystalline semiconductor thin film is irradiated with ultraviolet light or infrared light, a heat treatment at a temperature range of 900 to 1200° C. is carried out in a reducing atmosphere. The surface of the crystalline semiconductor thin film is extremely flattened through this step, defects in crystal grain boundaries and crystal grains disappear, and the single crystal semiconductor thin film or substantially single crystal semiconductor thin film is obtained.
    Type: Grant
    Filed: July 13, 1999
    Date of Patent: November 13, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani, Tamae Takano
  • Patent number: 7291521
    Abstract: A semiconductor fabrication method includes implanting or otherwise introducing a counter doping impurity distribution into a semiconductor top layer of a silicon-on-insulator (SOI) wafer. The top layer has a variable thickness including a first thickness at a first region and a second thickness, greater than the first, at a second region. The impurity distribution is introduced into the top layer such that the net charge deposited in the semiconductor top layer varies linearly with the thickness variation. The counter doping causes the total net charge in the first region to be approximately equal to the net charge in the second region. This variation in deposited net charge leads to a uniform threshold voltage for fully depleted transistors. Fully depleted transistors are then formed in the top layer.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 6, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, Yasuhito Shiho
  • Patent number: 7276431
    Abstract: An structure for electrically isolating a semiconductor device is formed by implanting dopant into a semiconductor substrate that does not include an epitaxial layer. Following the implant the structure is exposed to a very limited thermal budget so that dopant does not diffuse significantly. As a result, the dimensions of the isolation structure are limited and defined, thereby allowing a higher packing density than obtainable using conventional processes which include the growth of an epitaxial layer and diffusion of the dopants. In one group of embodiments, the isolation structure includes a deep layer and a sidewall which together form a cup-shaped structure surrounding an enclosed region in which the isolated semiconductor device may be formed. The sidewalls may be formed by a series of pulsed implants at different energies, thereby creating a stack of overlapping implanted regions.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 2, 2007
    Assignees: Advanced Analogic Technologies, Inc., Advanced Analogic Technologies (Hong Kong) Limited
    Inventors: Richard K. Williams, Michael E. Cornell, Wai Tien Chan
  • Patent number: 7268065
    Abstract: A method of manufacturing a microelectronic device including forming an opening in a dielectric layer located over a substrate, forming a semi-conductive layer substantially conforming to the opening, and forming a conductive layer substantially conforming to the semi-conductive layer. At least a portion of the semi-conductive layer is doped by implanting through the conductive layer. The semi-conductive layer and the conductive layer may then be annealed.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: September 11, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Patent number: 7265435
    Abstract: A method for implanting atomic species through an uneven surface of a semiconductor layer. The technique includes applying a covering layer upon the uneven surface in an amount sufficient and in a manner to increase surface uniformity. The method also includes implanting atomic species through the covering layer and uneven surface to obtain a more uniform depth of implantation of the atomic species in the layer.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: September 4, 2007
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruno Ghyselen, Takeshi Akatsu
  • Publication number: 20070152272
    Abstract: Embodiments relate to a method for fabricating a transistor by using a SOI wafer. A gate insulation layer and a first gate conductive layer on a silicon-on-insulator substrate of a substrate to form a first gate conductive pattern, a gate insulation layer pattern, and a silicon layer pattern. A device isolation insulation layer exposing the top surface of the first gate conductive layer pattern may be formed. A second gate conductive layer may be formed. A mask pattern may be formed. Then, a gate may be formed by etching. After forming a source and drain conductive layer on the silicon layer pattern, the mask pattern may be removed. A salicide layer may be selectively contacting the gate and the source and drain conductive layer may be formed.
    Type: Application
    Filed: December 22, 2006
    Publication date: July 5, 2007
    Inventor: Jeong Ho Park
  • Patent number: 7235469
    Abstract: A semiconductor device suitable for the miniaturization and comprising properly controlled Si/SiGe gate electrode comprises an insulator formed on a semiconductor substrate, a first gate electrode formed on the insulator and including silicon-germanium, wherein a germanium concentration is higher near an interface to the insulator and lower in a surface side opposite to the insulator, and a second gate electrode formed on the insulator and including silicon-germanium, wherein a germanium concentration is substantially uniform and an n-type dopant of a concentration of above 6×1020 atoms/cm3 is contained.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: June 26, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasunori Okayama, Kiyotaka Miyano, Kazunari Ishimaru
  • Patent number: 7223640
    Abstract: A semiconductor component having analog and logic circuit elements manufactured from an SOI substrate and a method for manufacturing the semiconductor component. An SOI substrate has a support wafer coupled to an active wafer through an insulating material. Openings are formed in the active wafer, extend through the insulating material, and expose portions of the support wafer. Epitaxial semiconductor material is grown on the exposed portions of the support wafer. Analog circuitry is manufactured from the epitaxially grown semiconductor material and high performance logic circuitry is manufactured from the active wafer. The processing steps for manufacturing the analog circuitry are decoupled from the steps for manufacturing the high performance logic circuitry. A substrate contact is made from a portion of the epitaxially grown semiconductor material that is electrically isolated from the portion in which the analog circuitry is manufactured.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: May 29, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mario M. Pelella, Darin A. Chan, Simon S. Chan
  • Patent number: 7217602
    Abstract: A semiconductor device employing a PD-SOI substrate and a method of manufacturing the same are capable of minimizing a floating body effect. The semiconductor device employs a silicon layer over a buried insulating layer on a silicon wafer, isolating layers in the silicon layer in contact with the buried insulating layer, a body layer of a first conductivity type in the silicon layer between the isolating layers and having a trench, a gate insulating layer and a gate electrode in the trench of the body layer, a spacer on the sidewall of the gate electrode, LDD regions of a second conductivity type in the body layer on both sides of the gate electrode in contact with the buried insulating layer under the trench, and source and drain regions of the second conductivity type the body layer on both sides of the spacer in contact with the buried insulating layer.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: May 15, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwan-Ju Koh
  • Patent number: 7208357
    Abstract: A process for forming a strained semiconductor layer. The process includes implanting ions into a semiconductor layer prior to performing a condensation process on the layer. The ions assist in diffusion of atoms (e.g. germanium) in the semiconductor layer and to increase the relaxation of the semiconductor layer. After the condensation process, the layer can be used as a template layer for forming a strained semiconductor layer.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: April 24, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Bich-Yen Nguyen, Voon-Yew Thean, Ted R. White
  • Patent number: 7202146
    Abstract: A process for producing doped semiconductor wafers from silicon, which contain an electrically active dopant, such as boron, phosphorus, arsenic or antimony, optionally are additionally doped with germanium and have a defined thermal conductivity, involves producing a single crystal from silicon and processing further to form semiconductor wafers, the thermal conductivity being established by selecting a concentration of the electrically active dopant and optionally a concentration of germanium. Semiconductor wafers produced from silicon by the process have specific properties with regard to thermal conductivity and resistivity.
    Type: Grant
    Filed: August 9, 2005
    Date of Patent: April 10, 2007
    Assignee: Siltronic AG
    Inventors: Rupert Krautbauer, Christoph Frey, Simon Zitzelsberger, Lothar Lehmann
  • Patent number: 7202513
    Abstract: A method for engineering stress in the channels of MOS transistors of different conductivity using highly stressed nitride films in combination with selective semiconductor-on-insulator (SOI) device architecture is described. A method of using compressive and tensile nitride films in the shallow trench isolation (STI) process is described. High values of stress are achieved when the method is applied to a selective SOI architecture.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: April 10, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, William K. Henson, Kern Rim, William C. Wille
  • Patent number: 7192815
    Abstract: A method of manufacturing a thin film transistor is described. A polysilicon island is formed over a substrate. A gate insulating layer is formed over the substrate to cover the polysilicin island. A gate is formed on the gate insulating layer above the polysilicon island. A passivation layer is formed over the substrate to cover the gate and the gate insulating layer. An ion implanting process is carried out to form a source/drain in the polysilicon island beside the gate, wherein a region between the source and the drain is a channel. After the first passivation layer is removed, a patterned dielectric layer is formed over the substrate, wherein the dielectric layer exposes a portion of the source/drain. A source/drain conductive layer is formed over the dielectric layer and is electrically connected to the source/drain.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: March 20, 2007
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Chia-Nan Shen
  • Patent number: 7183182
    Abstract: A method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors which includes selective doping and full silicidation of a polysilicon material comprising the gate electrode of the transistor. In one embodiment, prior to silicidation, the polysilicon is amorphized. In a further embodiment, siliciding is performed at a low substrate temperature.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: February 27, 2007
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Meikei Ieong, Jakub T. Kedzierski
  • Patent number: 7176490
    Abstract: It is a problem to provide a semiconductor device production system using a laser crystallization method capable of preventing grain boundaries from forming in a TFT channel region and further preventing conspicuous lowering in TFT mobility due to grain boundaries, on-current decrease or off-current increase. An insulation film is formed on a substrate, and a semiconductor film is formed on the insulation film. Due to this, preferentially formed is a region in the semiconductor film to be concentratedly applied by stress during crystallization with laser light. Specifically, a stripe-formed or rectangular concavo-convex is formed on the semiconductor film. Continuous-oscillation laser light is irradiated along the striped concavo-convex or along a direction of a longer or shorter axis of rectangle.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: February 13, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Atsuo Isobe, Koji Dairiki, Hiroshi Shibata, Chiho Kokubo, Tatsuya Arao, Masahiko Hayakawa, Hidekazu Miyairi, Akihisa Shimomura, Koichiro Tanaka, Shunpei Yamazaki, Mai Akiba
  • Patent number: 7172928
    Abstract: One aspect of the present invention is forming a gate electrode over a semiconductor layer; doping the semiconductor layer with an impurity through the gate electrode in the first doping and without passing through the gate electrode in the second doping. Since two kinds of n31 -type impurity regions are formed in the semiconductor layer, an off current can be reduced, and deterioration of characteristics can be suppressed.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: February 6, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7166521
    Abstract: A method of fabricating a SOI wafer having a gate-quality, thin buried oxide region is provided. The wafer is fabricating by forming a substantially uniform thermal oxide on a surface of a Si-containing layer of a SOI substrate which includes a buried oxide region positioned between the Si-containing layer and a Si-containing substrate layer. Next, a cleaning process is employed to form a hydrophilic surface on the thermal oxide. A carrier wafer having a hydrophilic surface is provided and positioned near the substrate such that the hydrophilic surfaces adjoin each other. Room temperature bonding is then employed to bond the carrier wafer to the substrate. An annealing step is performed and thereafter, the Si-containing substrate of the silicon-on-insulator substrate and the buried oxide region are selectively removed to expose the Si-containing layer.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: January 23, 2007
    Assignee: International Business Machines Corporation
    Inventors: Diane C. Boyd, Hussein I. Hanafi, Erin C. Jones, Dominic J. Schepis, Leathen Shi
  • Patent number: 7157343
    Abstract: A method for fabricating a semiconductor device is provided. The method comprises: providing a substrate; forming a gate structure on the substrate, the gate structure including a gate dielectric layer on the substrate and a gate conductive layer on the gate dielectric layer; forming an oxide layer conformally covering the substrate and the gate structure; forming a dielectric layer covering the oxide layer; removing a portion of the dielectric layer to form a spacer on a sidewall of the gate structure, the oxide layer between the spacer and the gate structure as an oxide spacer; performing an oxygen plasma treatment process to form an silicon oxide layer in the substrate below the oxide layer, the silicon oxide layer and the oxide layer being an offset oxide layer; and forming a source/drain region in the substrate at two sides of the gate structure.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: January 2, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Ping-Pang Hsieh
  • Patent number: 7151015
    Abstract: There has been a problem that the manufacturing process is complicated and the number of processes is increased when a TFT with an LDD structure or a TFT with a GOLD structure is formed. In a method of manufacturing a semiconductor device, after low concentration impurity regions (24, 25) are formed in a second doping process, a width of the low concentration impurity region which is overlapped with the third electrode (18c) and a width of the low concentration impurity region which is not overlapped with the third electrode can be freely controlled by a fourth etching process. Thus, in a region overlapped with the third electrode, a relaxation of electric field concentration is achieved and then a hot carrier injection can be prevented. And, in the region which is not overlapped with the third electrode, the off-current value can be suppressed.
    Type: Grant
    Filed: May 11, 2001
    Date of Patent: December 19, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideomi Suzawa, Koji Ono, Toru Takayama, Tatsuya Arao, Shunpei Yamazaki
  • Patent number: 7122431
    Abstract: Methods of forming a unit cell of a metal oxide semiconductor (MOS) transistor are provided. An integrated circuit substrate is formed. A MOS transistor is formed on the integrated circuit substrate. The MOS transistor has a source region, a drain region and a gate. The gate is between the source region and the drain region. The first and second spaced apart buffer regions are formed beneath the source region and the drain region and between respective ones of the source region and integrated circuit substrate and the drain region and the integrated circuit substrate.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: October 17, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Dong-Gun Park, Sung-Young Lee, Hye-Jin Cho, Eun-Jung Yun, Shin-Ae Lee, Chang-Woo Oh, Jeong-Dong Choe
  • Patent number: 7112850
    Abstract: This invention concerns a non-volatile memory device with a polarizable layer. The apparatus concerns a substrate, a buried oxide layer within the substrate, and a polarizable layer within the substrate. The polarizable layer is formed in a buried oxide layer of a silicon-on-insulator substrate for the fabrication of non-volatile memory. The process of creating the polarizable layer comprises implanting, through the active silicon layer, Si ions into the buried oxide layer at an ion implantation energy selected so that the implanted ion has its peak concentration between 5–50 nm from the silicon/buried oxide interface. The implantation step can occur while externally heating the silicon-on-insulator substrate at a temperature between 25–300 degrees Celsius. An annealing step may be completed to repair any damage the implantation may have created in the silicon-on-insulator substrate.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: September 26, 2006
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Harold L. Hughes, Patrick J. McMarr, Reed K. Lawrence
  • Patent number: 7109103
    Abstract: A semiconductor device including a silicon substrate, a gate insulator film formed on the silicon substrate and including silicon, deuterium, and at least one of oxygen and nitrogen, and a gate electrode formed on the gate insulator film wherein a deuterium concentration in a vicinity of an interface of the gate insulator film with the gate electrode is at least 1×1017 cm?3, and a deuterium concentration in a vicinity of an interface of the gate insulator film with the silicon substrate is higher than the deuterium concentration in the vicinity of the interface of the gate insulation film with the gate electrode.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: September 19, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuichiro Mitani, Hideki Satake
  • Patent number: 7102166
    Abstract: A hybrid orientation semiconductor structure and method of forming the same. The structure includes (a) a semiconductor substrate comprising a first semiconductor material having a first lattice orientation; (b) a back gate region on the semiconductor substrate; (c) a back gate dielectric layer on the back gate region; (d) a semiconductor region on the back gate dielectric layer, wherein the semiconductor region is electrically insulated from the back gate region by the back gate dielectric layer, and wherein the semiconductor region comprises a second semiconductor material having a second lattice orientation different from the first lattice orientation; and (e) a field effect transistor (FET) formed on the semiconductor region, wherein changing a voltage potential applied to the back gate region causes a change in a threshold voltage of the FET.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: September 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, William F. Clark, Jr., Edward J. Nowak
  • Patent number: 7084459
    Abstract: There is provided an SOI substrate having an SOI structure with an insulating layer and a surface single crystal silicon layer successively formed on a single crystal wafer, the SOI substrate having no pit generation in the SOI layer, being producible at low cost and at high productivity and having excellent gettering capacity, wherein the SOI substrate contains nitrogen and carbon with a nitrogen content of no greater than 1×1016 atoms/cm3 and a carbon content of no greater than 1×1018 atoms/cm3.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: August 1, 2006
    Assignee: Nippon Steel Corporation
    Inventors: Tsutomu Sasaki, Isao Hamaguchi, Atsuki Matsumura
  • Patent number: 7071041
    Abstract: There is provided a method of manufacturing a semiconductor device having a TFT with sufficient characteristics and little fluctuation by accurately controlling the addition amount of impurity ions to the semiconductor layer using an ion doping device. A semiconductor device having a TFT showing sufficient and stable characteristics may be obtained by increasing the ratio of the dopant amount in the doping gas and decreasing the ambient atmosphere components (C, N, O) and hydrogen to be simultaneously added with the impurity ions at the time of doping.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: July 4, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani, Hideto Ohnuma
  • Patent number: 7067360
    Abstract: A method of fabricating a fin field effect transistor is disclosed. An example method forms a thermal oxide layer as a hard mask for etching a silicon fin on an SOI substrate, transcribes a fin pattern, forms a fin FET body by etching using the fin pattern as an etch mask, and restores a sidewall damaged by the etching remove a sacrificial silicon oxide layer. The example method also deposits a high-K dielectric as a gate dielectric, deposits a metal layer, planarizes the metal layer to a height of a hard oxide, forms a nitride layer on the planarized metal layer, and patterns the nitride layer using a hard mask for forming a pattern to form a nitride layer pattern. Additionally, the example method forms a metal gate using the nitride layer pattern, removes a remaining hard oxide mask, and grows a sidewall oxide layer on the metal gate.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: June 27, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Byeong Ryeol Lee
  • Patent number: 7064049
    Abstract: The present invention provides an ion implantation method which can achieve sufficient throughput by increasing a beam current even in the case of ions with a small mass number or low-energy ions, an SOI wafer manufacturing method, and an ion implantation system. When ions are implanted by irradiating a semiconductor substrate with an ion beam, predetermined gas is excited in a pressure-reduced chamber to generate plasma containing predetermined ions, a magnetic field is formed by a solenoid coil or the like along an extraction direction when the ions are extracted to the outside of the chamber, and the ions are extracted from the chamber with predetermined extraction energy. The formation of the magnetic field promotes ion extraction, but this magnetic field has no influence on an advancing direction of the extracted ions. Therefore, the ion beam current can be kept at a high level-to contribute to the ion implantation.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: June 20, 2006
    Assignee: Applied Materials, Inv.
    Inventors: Hiroyuki Ito, Yasuhiko Matsunaga
  • Patent number: 7064387
    Abstract: A silicon-on-insulator (SOI) substrate includes a silicon substrate including an active region defined by a field region that surrounds the active region for device isolation. The field region includes a first oxygen-ion-injected isolation region and a second oxygen-ion-injected isolation region. The first oxygen-ion-injected isolation region has a first thickness and is disposed under the active region, a center of the first oxygen-ion-injected isolation region being at a first depth from a top surface of the silicon substrate. The second oxygen-ion-injected isolation region has a second thickness that is greater than the first thickness, the second oxygen-ion-injected isolation region disposed at sides of the active region and formed from a ton surface of the silicon substrate, a center of the second oxygen-ion-injected region disposed at a second depth from the top surface of the silicon substrate.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: June 20, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 7041530
    Abstract: A method of the production of a nanoparticle dispersed composite material capable of controlling a particle size and a three dimensional arrangement of the nanoparticles is provided. The method of the production of a nanoparticle dispersed composite material of the present invention includes a step (a) of arranging a plurality of core fine particle-protein complexes having a core fine particle, which comprises an inorganic material, internally included within a protein on the top surface of a substrate, a step (b) of removing the protein, a step (c) of conducting ion implantation from the top surface of the substrate, and a step (d) of forming nanoparticles including the ion implanted by the ion implantation as a raw material, inside of the substrate.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: May 9, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masahiro Nunoshita, Ichiro Yamashita, Shigeo Yoshii
  • Patent number: 7037769
    Abstract: The present invention is directed to a thin film transistor (and related multilayer structures) that includes: source and drain electrodes 14 and 15 disposed at a specified interval above an insulating substrate 11 and formed by printing-and-plating; an a-Si film 16 disposed for the source and drain electrodes 14 and 15; a gate insulating film 17 laminated on the a-Si film 16; and a gate electrode 18 laminated on the gate insulating film 17 and formed by printing-and-plating. The a-Si film 16 and the gate insulating film 17 have an offset region 20 that uniformly extends beyond the dimensions of the gate electrode 18.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: May 2, 2006
    Assignee: International Business Machines Corporation
    Inventors: Peter M. Fryer, Robert L. Wisnieff, Takatoshi Tsujimura
  • Patent number: 7022556
    Abstract: The present invention provides a highly controllable device for exposure from the back side and an exposure method, and also provides a method of manufacturing a semiconductor device using the same. The present invention involves exposure with the use of the back side exposure device of which a reflecting means is disposed on the front side of a substrate, apart from a photosensitive thin film surface by a distance X(X=0.1 ?m to 1000 ?m), and formation of a photosensitive thin film pattern in a self alignment manner, with good controllability, at a position a distance Y away from the end of a pattern. The invention fabricates a TFT using that method.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: April 4, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hiroki Adachi
  • Patent number: 7005364
    Abstract: The invention provides a method for manufacturing a semiconductor device with which an impurity introduction region and a positioning mark region can be formed aligned, based on a common insulating film pattern.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoto Niisoe
  • Patent number: 7001832
    Abstract: A method for limiting slip lines in a semiconductor substrate including a support layer and a useful semiconductor layer that is transferred to the support layer. The method includes precipitating at least a portion of interstitial oxygen in the support layer by a series of heat treatments conducted after bonding of the useful semiconductor layer to the support layer. The heat treatments occur at a temperature and a time sufficient to reduce the generation of slip lines therein.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: February 21, 2006
    Assignee: S.O.I.Tec Silicon on Insulator Technologies S.A.
    Inventor: Eric Neyret
  • Patent number: 6991996
    Abstract: A laminated substrate is formed by laminating a device formation layer made of single crystalline semiconductor on a supporting substrate made of single crystalline semiconductor via an insulating layer with making one direction of a crystallographic axis of the device formation layer be shifted from a corresponding direction of a crystallographic axis of the supporting substrate. Semiconductor devices are formed in the device formation layer within a plurality of areas divided by scribe lines extending to a direction being parallel to a direction of a crystallographic axis where the supporting substrate is easy to be cleaved. The laminated substrate is split into a plurality of chips by cleaving the supporting substrate along the scribe lines. A semiconductor device can easily be split into chips even if a moving direction of carrier and an extending direction of wiring are shifted from an easy-cleaved direction of a crystallographic axis.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: January 31, 2006
    Assignee: Fujitsu Limited
    Inventors: Shinji Sugatani, Satoshi Sekino
  • Patent number: 6972448
    Abstract: A low resistance buried back contact for SOI devices. A trench is etched in an insulating layer at minimum lithographic dimension, and sidewalls are deposited in the trench to decrease its width to sublithographic dimension. Conducting material is deposited in the trench, which serves as a low-resistance contact to the back side of the device. In another embodiment, the trench-fill material is separated from the device by an insulating layer, and serves as a back gate structure.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: December 6, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 6972247
    Abstract: A method of fabricating a strained semiconductor-on-insulator (SSOI) substrate in which the strained semiconductor is a thin semiconductor layer having a thickness of less than 50 nm that is located directly atop an insulator layer of a preformed silicon-on-insulator substrate is provided. Wafer bonding is not employed in forming the SSOI substrate of the present invention.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: December 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Guy M. Cohen, Huajie Chen
  • Patent number: 6960802
    Abstract: A method and type of device for performing passive voltage contrast on a silicon on insulator (SOI) device. A first portion of a substrate of the SOI device may be ground with a dimpler. A second portion of the substrate of the SOI device may be etched using tetramethylammonium hydroxide (TMAH). A third portion of the substrate of the SOI device and a portion of a box insulator of the SOI device may be etched using hydrofluoric (HF) acid. A conductive coating may be applied to the etched portions thereby forming a conductive path from the gate to the substrate if there is a breakdown in the gate oxide. Consequently, the passive voltage contrast technique may be applied to the SOI device to detect a breakdown in the gate oxide which would be illustrated by a bright area in the gate oxide region resulting from the secondary electrons produced.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: November 1, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mehrad Mahanpour, Mohammad Massoodi, Dokham Phengthirath
  • Patent number: 6960787
    Abstract: There is provided a semiconductor device having TFTs whose thresholds can be controlled. There is provided a semiconductor device including a plurality of TFTs having a back gate electrode, a first gate insulation film, a semiconductor active layer a second gate insulation film and a gate electrode, which are formed on a substrate, wherein an arbitrary voltage is applied to the back gate electrode.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: November 1, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Setsuo Nakajima, Naoya Sakamoto
  • Patent number: 6958264
    Abstract: A method of manufacturing a semiconductor device on a silicon-on-insulator wafer including a silicon active layer having at least two die pads formed thereon, the at least two die pads separated by at least one scribe lane, including the steps of forming at least one cavity through the silicon active layer in the at least one scribe lane; forming at least one gettering plug in each said cavity, each said gettering plug comprising doped fill material containing a plurality of gettering sites; and subjecting the wafer to conditions to getter at least one impurity into the plurality of gettering sites.
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: October 25, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ming-Ren Lin
  • Patent number: 6953738
    Abstract: A method for forming a silicon-on-insulator transistor (80) includes forming an active region (82) overlying an insulating layer (122), wherein a portion of the active region provides an intrinsic body region (126). A body tie access region (128) is also formed within the active region, overlying the insulating layer and laterally disposed adjacent the intrinsic body region, making electrical contact to the intrinsic body region. A gate electrode (134) is formed overlying the intrinsic body region for providing electrical control of the intrinsic body region, the gate electrode extending over a portion (137) of the body tie access region. The gate electrode is formed having a substantially constant gate length (88) along its entire width overlying the intrinsic body region and the body tie access region to minimize parasitic capacitance and gate electrode leakage. First and second current electrodes (98,100) are formed adjacent opposite sides of the intrinsic body region.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: October 11, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Surya Veeraraghavan, Yang Du, Glenn O. Workman
  • Patent number: 6936895
    Abstract: A new method to form an integrated circuit device is achieved. The method comprises forming a dielectric layer overlying a semiconductor substrate. An intrinsic semiconductor layer is formed overlying the dielectric layer. The intrinsic semiconductor layer is patterned. A p+ region is formed in the intrinsic semiconductor layer. An n+ region is formed in the intrinsic semiconductor layer. The p+ region and said n+ region are laterally separated by an intrinsic region to thereby form a PIN diode device. A source region and a drain region are formed in the semiconductor substrate to thereby complete a MOSFET device. The PIN diode device is a gate electrode for the MOSFET device.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: August 30, 2005
    Assignees: Chartered Semiconductor Manufacturing Ltd., Agilent Technologies, Inc.
    Inventors: Indrajit Manna, Keng Foo Lo, Pee Ya Tan, Raymond Filippi
  • Patent number: 6933527
    Abstract: It is a problem to provide a semiconductor device production system using a laser crystallization method capable of preventing grain boundaries from forming in a TFT channel region and further preventing conspicuous lowering in TFT mobility due to grain boundaries, on-current decrease or off-current increase. An insulation film is formed on a substrate, and a semiconductor film is formed on the insulation film. Due to this, preferentially formed is a region in the semiconductor film to be concentratedly applied by stress during crystallization with laser light. Specifically, a stripe-formed or rectangular concavo-convex is formed on the semiconductor film. Continuous-oscillation laser light is irradiated along the striped concavo-convex or along a direction of a longer or shorter axis of rectangle.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: August 23, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Atsuo Isobe, Koji Dairiki, Hiroshi Shibata, Chiho Kokubo, Tatsuya Arao, Masahiko Hayakawa, Hidekazu Miyairi, Akihisa Shimomura, Koichiro Tanaka, Shunpei Yamazaki, Mai Akiba
  • Patent number: 6921709
    Abstract: A method of manufacturing an integrated circuit having a gate structure above a substrate that includes germanium utilizes at least one layer as a seal. The layer advantageously can prevent back sputtering and outdiffusion. A transistor can be formed in the substrate by doping through the layer. Another layer can be provided below the first layer. Layers of silicon dioxide, silicon carbide, silicon nitride, titanium, titanium nitride, titanium/titanium nitride, tantalum nitride, and silicon carbide can be used.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: July 26, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Haihong Wang, Qi Xiang
  • Patent number: 6919236
    Abstract: In one example, a method of forming a transistor above a silicon-on-insulator substrate comprised of a bulk substrate, a buried oxide layer and an active layer, the bulk substrate being doped with a first type of dopant material is disclosed. The method comprises performing a first ion implant process using a dopant material that is of a type opposite the first type of dopant material to form a first well region within the bulk substrate, performing a second ion implant process using a dopant material that is the same type as the first type of dopant material to form a second well region in the bulk substrate within the first well, the transistor being formed in the active layer above the second well, forming a conductive contact to the first well and forming a conductive contact to the second well.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: July 19, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andy C. Wei, Derick J. Wristers, Mark B. Fuselier
  • Patent number: 6906385
    Abstract: An amorphous-silicon thin film transistor and a shift resister shift resister having the amorphous-silicon TFT include a first conductive region, a second conductive region and a third conductive region. The first conductive region is formed on a first plane spaced apart from a substrate by a first distance. The second conductive region is formed on a second plane spaced apart from the substrate by a second distance. The second conductive region includes a body conductive region and two hand conductive regions elongated from both ends of the body conductive region to form an U-shape. The third conductive region is formed on the second plane. The third conductive region includes an elongated portion. The elongated portion is disposed between the two hand conductive regions of the second conductive region. The amorphous-silicon TFT and the shift resister having the amorphous TFT reduce a parasitic capacitance between the gate electrode and drain electrode.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: June 14, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hwan Moon, Back-Won Lee
  • Patent number: 6890827
    Abstract: To address the above-discussed deficiencies of the prior art, the present invention provides an integrated circuit formed on a semiconductor wafer, comprising a doped base substrate; an insulator layer formed over the doped base substrate; and a doped ultra thin active layer formed on the insulator layer, the ultra thin active layer including a gate oxide, a gate formed on the gate oxide, and source and drain regions formed in the ultra thin active layer and adjacent the gate. The present invention therefore provides a semiconductor wafer that provides a doped ultra thin active layer. The lower Ioff in the DRAM transistor allows for lower heat dissipation, and the overall power requirement is decreased. Thus, the present invention provides a lower Ioff with reasonably good ion characteristics.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: May 10, 2005
    Assignee: Agere Systems Inc.
    Inventors: Seungmoo Choi, Sailesh Merchant, Pradip K. Roy