Combined With Formation Of Ohmic Contact To Semiconductor Region Patents (Class 438/586)
  • Patent number: 8609494
    Abstract: The semiconductor device includes: a columnar silicon layer on the planar silicon layer; a first n+ type silicon layer formed in a bottom area of the columnar silicon layer; a second n+ type silicon layer formed in an upper region of the columnar silicon layer; a gate insulating film formed in a perimeter of a channel region between the first and second n+ type silicon layers; a gate electrode formed in a perimeter of the gate insulating film, and having a first metal-silicon compound layer; an insulating film formed between the gate electrode and the planar silicon layer, an insulating film sidewall formed in an upper sidewall of the columnar silicon layer; a second metal-silicon compound layer formed in the planar silicon layer; and an electric contact formed on the second n+ type silicon layer.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: December 17, 2013
    Assignee: Unisantis Electronics Singapore Pte Ltd.
    Inventors: Fujio Masuoka, Hiroki Nakamura, Shintaro Arai, Tomohiko Kudo, Yu Jiang, King-Jien Chui, Yisuo Li, Xiang Li, Zhixian Chen, Nansheng Shen, Vladimir Bliznetsov, Kavitha Devi Buddharaju, Navab Singh
  • Publication number: 20130328112
    Abstract: Semiconductor devices and methods for fabricating semiconductor devices are provided. In an embodiment, a method for fabricating a semiconductor device includes forming on a semiconductor surface a temporary gate structure including a polysilicon gate and a cap. A spacer is formed around the temporary gate structure. The cap and a portion of the spacer are removed. A uniform liner is deposited overlying the polysilicon gate. The method removes a portion of the uniform liner overlying the polysilicon gate and the polysilicon gate to form a gate trench. Then, a replacement metal gate is formed in the gate trench.
    Type: Application
    Filed: June 11, 2012
    Publication date: December 12, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Xiuyu Cai, Andy C. Wei, Robert Miller
  • Patent number: 8603905
    Abstract: An improved method for optimizing layer registration during lithography in the fabrication of a semiconductor device is disclosed. In one example, the method comprises optimizing contact layer registration of an SRAM device having a plurality of transistors having active and gate region features extending generally along a channel length (X) direction and a channel width (Y) direction, respectively. The method comprises aligning a contact layer to a gate layer in the channel length direction (X), using gate layer overlay marks to control the alignment of the contact layer in the channel length direction (X) of the semiconductor device. The method further includes aligning the contact layer to an active layer in the channel width direction (Y), using active layer overlay marks to control the alignment of the contact layer in the channel width direction (Y) of the semiconductor device.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: December 10, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Publication number: 20130320456
    Abstract: Gate aligned contacts and methods of forming gate aligned contacts are described. For example, a method of fabricating a semiconductor structure includes forming a plurality of gate structures above an active region formed above a substrate. The gate structures each include a gate dielectric layer, a gate electrode, and sidewall spacers. A plurality of contact plugs is formed, each contact plug formed directly between the sidewall spacers of two adjacent gate structures of the plurality of gate structures. A plurality of contacts is formed, each contact formed directly between the sidewall spacers of two adjacent gate structures of the plurality of gate structures. The plurality of contacts and the plurality of gate structures are formed subsequent to forming the plurality of contact plugs.
    Type: Application
    Filed: December 22, 2011
    Publication date: December 5, 2013
    Inventors: Oleg Golonzka, Swaminathan Sivakumar, Charles H. Wallace, Tahir Ghani
  • Publication number: 20130323919
    Abstract: A method of preventing contact metal from protruding into neighboring gate devices to affect work functions of the neighboring gate devices is provided includes forming a gate structure. Forming the gate structure includes forming a work function layer, and forming a gate metal layer having a void, wherein the work function layer surrounds the gate metal layer. The method further includes forming a contact plug having a contact metal directly on the gate metal layer of the first gate stack, wherein the contact metal protrudes into the void, and the work function layer prevents the contact metal from protruding into a second gate stack.
    Type: Application
    Filed: August 8, 2013
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lee-Wee TEO, Ming ZHU, Chi-Ju LEE, Sheng-Chen CHUNG, Kai-Shyang YOU, Harry-Hak-Lay CHUANG
  • Publication number: 20130320451
    Abstract: The present disclosure provides a device includes a first gate structure segment and a collinear second gate structure segment, as well as a third gate structure segment and a collinear fourth gate structure segment. An interconnection extends from the first gate structure segment to the fourth gate structure segment. The interconnection is disposed above the first gate structure segment and the fourth gate structure segment. The interconnection may be formed on or co-planar with a contact layer of the semiconductor device.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Chia-Chu Liu, Shiao-Chian Yeh, Hong-Jang Wu, Kuei-Shun Chen
  • Publication number: 20130320433
    Abstract: A method for fabricating vertical channel transistors includes forming a plurality of pillars which have laterally opposing both sidewalls, over a substrate; forming a gate dielectric layer on both sidewalls of the pillars; forming first gate electrodes which cover any one sidewalls of the pillars and shield gate electrodes which cover the other sidewalls of the pillars and have a height lower than the first gate electrodes, over the gate dielectric layer; and forming second gate electrodes which are connected with upper portions of sidewalls of the first gate electrodes.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 5, 2013
    Inventors: Heung-Jae CHO, Eui-Seong Hwang, Eun-Shil Park
  • Publication number: 20130323920
    Abstract: A method of fabricating a self-aligned buried wordline in a structure which contains a self-aligned buried bit line, where the overall structure which makes up a portion of a vertical channel DRAM. The materials and processes used enable self-alignment of elements of the buried wordline during the fabrication process. In addition, the materials and processes used enable for formation of individual DRAM cells which have a buried bit line width which is 16 nm or less and a perpendicular buried wordline width which is 24 nm or less.
    Type: Application
    Filed: May 9, 2013
    Publication date: December 5, 2013
    Inventors: Chorng-Ping Chang, Er-Xuan Ping, Judon Tony Pan
  • Publication number: 20130313624
    Abstract: A first connection portion and a second connection portion connect a first control gate to a second control gate, and are separated from each other. The first control gate includes a first disconnection portion between the first connection portion and a source diffusion layer closest to the first connection portion. The second control gate includes a second disconnection portion between the second connection portion and the source diffusion layer closest to the second connection portion. A first word gate and a second word gate are not disconnected in portions overlapping the first disconnection portion and the second disconnection portion.
    Type: Application
    Filed: February 21, 2012
    Publication date: November 28, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Takayuki Onda
  • Patent number: 8592295
    Abstract: Non-planar semiconductor devices are provided that include at least one semiconductor nanowire suspended above a semiconductor oxide layer that is present on a first portion of a bulk semiconductor substrate. An end segment of the at least one semiconductor nanowire is attached to a first semiconductor pad region and another end segment of the at least one semiconductor nanowire is attached to a second semiconductor pad region. The first and second pad regions are located above and are in direct contact with a second portion of the bulk semiconductor substrate which is vertically offsets from the first portion. The structure further includes a gate surrounding a central portion of the at least one semiconductor nanowire, a source region located on a first side of the gate, and a drain region located on a second side of the gate which is opposite the first side of the gate.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey W. Sleight, Josephine B. Chang, Isaac Lauer, Shreesh Narasimha
  • Publication number: 20130307035
    Abstract: A method for fabricating an image sensor includes at least one of: (1) Forming a gate on a semiconductor substrate; (2) Forming spacers on both side walls of the gate and forming a dummy pattern on an upper portion of the semiconductor substrate; and (3) Forming a metal pad for an electrical connection on an upper portion of the dummy pattern. The method may include at least one of: (1) Forming an interlayer dielectric layer covering the entire semiconductor substrate, (2) Etching portions of the interlayer dielectric layer and the semiconductor substrate to form a super-contact hole; and (3) forming an insulation film on the entire surface of the interlayer dielectric layer. The method may include forming normal contact holes such that a portion of an upper portion of the gate and a partial region of the metal pad for an electrical connection are exposed and filling up the normal contact holes with a conductive material to form normal contacts.
    Type: Application
    Filed: January 16, 2013
    Publication date: November 21, 2013
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Ki-Jun YUN
  • Patent number: 8586462
    Abstract: Disclosed are a method of manufacturing a field-effect transistor. The disclosed method includes: providing a semiconductor substrate; forming a source ohmic metal layer on one side of the semiconductor substrate; forming a drain ohmic metal layer on another side of the semiconductor substrate; forming a gate electrode between the source ohmic metal layer and the drain ohmic metal layer, on an upper portion of the semiconductor substrate; forming an insulating film on the semiconductor substrate's upper portion including the source ohmic metal layer, the drain ohmic metal layer and the gate electrode; and forming a plurality of field electrodes on an upper portion of the insulating film, wherein the insulating film below the respective field electrodes has different thicknesses.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: November 19, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Hokyun Ahn, Jong-Won Lim, Hyung Sup Yoon, Byoung-Gue Min, Sang-Heung Lee, Hae Cheon Kim, Eun Soo Nam
  • Patent number: 8581337
    Abstract: A semiconductor device including a buried gate is disclosed. In the semiconductor device, a bit line contact contacts a top surface and lateral surfaces of an active region, such that a contact area between a bit line contact and the active region is increased and a high-resistivity failure is prevented from occurring in a bit line contact.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: November 12, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jung Seob Kye, Jung Min Han
  • Patent number: 8580678
    Abstract: A method for fabricating a semiconductor device includes forming first plugs over a substrate, forming contact holes that expose the first plugs, ion-implanting an anti-diffusion material into the first plugs, and forming second plugs filling the contact holes.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: November 12, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sun-Hwan Hwang
  • Patent number: 8580666
    Abstract: Methods for forming memory devices and integrated circuitry, for example, DRAM (dynamic random access memory) circuitry, structures and devices resulting from such methods, and systems that incorporate the devices are provided.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: November 12, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Terrence McDaniel, Sandra Tagg, Fred Fishburn
  • Patent number: 8580628
    Abstract: An integrated circuit having a mis-alignment tolerant electrical contact is formed by providing a semiconductor containing substrate over which is a first FET gate laterally bounded by a first dielectric region, replacing an upper portion of the first FET gate with a second dielectric region, applying a mask having an opening extending partly over an adjacent source or drain contact region of the substrate and over a part of the second dielectric region above the first FET gate, forming an opening through the first dielectric region extending to the contact region and the part of the second dielectric region, and filling the opening with a conductor making electrical connection with the contact region but electrically insulated from the first FET gate by the second dielectric region. A further FET gate may also be provided having an electrical contact thereto formed separately from the source-drain contact.
    Type: Grant
    Filed: February 2, 2012
    Date of Patent: November 12, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: André P. Labonté, Richard S. Wise, Ying Li, Brett H. Engel
  • Publication number: 20130292756
    Abstract: An approach for utilizing electrical capacitance between a plurality of contacts and sidewalls to provide voltage coupling between a floating gate (FG) and a control gate (CG) is disclosed. Embodiments include providing an FG and a CG laterally separated from each other; coupling a plurality of parallel polysilicon lines to the FG; providing a plurality of contacts between the plurality of the parallel polysilicon lines and coupling the contacts to the CG; and forming an electrical capacitance between the plurality of contacts and sidewalls of the plurality of parallel polysilicon lines to provide voltage coupling between the CG and the FG.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 7, 2013
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Yan Zhe Tang, Elgin Quek
  • Publication number: 20130295756
    Abstract: One method disclosed herein includes forming a plurality of source/drain contacts that are conductively coupled to a source/drain region of a plurality of transistor devices, wherein at least one of the source/drain contacts is a local interconnect structure that spans the isolation region and is conductively coupled to a first source/drain region in a first active region and to a second source/drain region in a second active region, and forming a patterned mask layer that covers the first and second active regions and exposes at least a portion of the local interconnect structure positioned above an isolation region that separates the first and second active regions. The method further includes performing an etching process through the patterned mask layer to remove a portion of the local interconnect structure, thereby defining a recess positioned above a remaining portion of the local interconnect structure, and forming an insulating material in the recess.
    Type: Application
    Filed: May 7, 2012
    Publication date: November 7, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Jin Cho, Jongwook Kye, Harry J. Levinson
  • Publication number: 20130292772
    Abstract: An approach for providing layout designs with via routing structures is disclosed. Embodiments include: providing a gate structure and a diffusion contact on a substrate; providing a gate contact on the gate structure; providing a metal routing structure that does not overlie a portion of the gate contact, the diffusion contact, or a combination thereof; and providing a via routing structure over the portion and under a part of the metal routing structure to couple the gate contact, the diffusion contact, or a combination thereof to the metal routing structure.
    Type: Application
    Filed: May 7, 2012
    Publication date: November 7, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Yuansheng Ma, Jongwook KYE, Harry LEVINSON, Hidekazu YOSHIDA, Mahbub RASHED
  • Publication number: 20130292702
    Abstract: A semiconductor device includes a substrate, a gate insulating film, a gate electrode, an interlayer insulating film, and a buffer film containing Ti and N and containing no Al, and a source electrode containing Ti, Al, and Si. In the semiconductor device, a contact hole is formed away from the gate electrode so as to extend through the interlayer insulating film. The gate insulating film is formed on a main surface of the substrate, which is formed of a plane having an off angle of not less than 50° and not more than 65° relative to a {0001} plane. The buffer film is formed in contact with a side wall surface of the contact hole. The source electrode is formed on and in contact with the buffer film and the main surface of the substrate.
    Type: Application
    Filed: April 4, 2013
    Publication date: November 7, 2013
    Applicant: Sumitomo Electric Industries, Ltd
    Inventor: Taku HORII
  • Publication number: 20130292703
    Abstract: A MOSFET includes: a substrate; a gate insulating film; a gate electrode; an interlayer insulating film formed on the gate insulating film to surround the gate electrode; a buffer film containing Ti and N and containing no Al; and a source electrode containing Ti, Al, and Si. In the MOSFET, a contact hole is formed away from the gate electrode so as to extend through the interlayer insulating film and expose a main surface of the substrate. The buffer film is formed in contact with a side wall surface of the contact hole. The source electrode is formed on and in contact with the buffer film and the main surface of the substrate exposed by forming the contact hole.
    Type: Application
    Filed: April 4, 2013
    Publication date: November 7, 2013
    Applicants: Renesas Electronics Corporation, Sumitomo Electric Industries, Ltd.
    Inventors: Taku HORII, Shinji Kimura, Mitsuo Kimoto
  • Publication number: 20130295755
    Abstract: Methods for making a semiconductor device are disclosed. The method includes forming a plurality of gate stacks on a substrate, forming an etch buffer layer on the substrate, forming a dielectric material layer on the etch buffer layer, forming a hard mask layer on the substrate, wherein the hard mask layer includes one opening, and etching the dielectric material layer to form a plurality of trenches using the hard mask layer and the etch buffer layer as an etch mask.
    Type: Application
    Filed: May 1, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Ya Hui Chang
  • Publication number: 20130295757
    Abstract: A method for fabricating InP-based high electron-mobility transistors (HEMTs) and GaAs-based metamorphic electron-mobility transistors (MHEMTs) by utilizing asymmetrically recessed ?-gates and self-aligned ohmic electrodes is disclosed. The fabrication starts with mesa isolation, followed by gate recess and gate metal deposition, in which the gate foot is placed asymmetrically in the recess groove, with the offset towards the source. It is important to use ?-gates as the shadow mask for ohmic metal deposition, because it allows a source-gate spacing as small as 0.1 micron, greatly reducing the critical source resistance, and it retains a relatively large gate-drain spacing, enabling a decent breakdown voltage when coupled with the asymmetric gate recess. It is also critical to maintain a large stem height of the ?-gates to assure a sufficient gap between the top of the gates and the ohmic metal after its deposition to reduce the parasitic capacitance.
    Type: Application
    Filed: May 2, 2013
    Publication date: November 7, 2013
    Inventor: BAE Systems Information and Electronic Systems Integration Inc.
  • Patent number: 8575013
    Abstract: Semiconductor devices and related fabrication methods are provided. An exemplary fabrication method involves forming a pair of gate structures having a dielectric region disposed between a first gate structure of the pair and a second gate structure of the pair, and forming a voided region in the dielectric region between the first gate structure and the second gate structure. The first and second gate structures each include a first gate electrode material, wherein the method continues by removing the first gate electrode material to provide second and third voided regions corresponding to the gate structures and forming a second gate electrode material in the first voided region, the second voided region, and the third voided region.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: November 5, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Peter Baars, Matthias Goldbach
  • Patent number: 8575675
    Abstract: A nonvolatile memory device includes a first channel comprising a pair of first pillars vertically extending from a substrate and a first coupling portion positioned under the pair of first pillars and coupling the pair of first pillars, a second channel adjacent to the first channel comprising a pair of second pillars vertically extending from the substrate and a second coupling portion positioned under the pair of second pillars and coupling the pair of second pillars, a plurality of gate electrode layers and interlayer dielectric layers alternately stacked along the first and second pillar portions, and first and second trenches isolating the plurality of gate electrode layers between the pair of first pillar portions and between the pair of second pillar portions, respectively.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: November 5, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sun-Mi Park, Byung-Soo Park, Sang-Hyun Oh
  • Publication number: 20130288471
    Abstract: One illustrative method disclosed herein involves forming gate structures for first and second spaced-apart transistors above a semiconducting substrate, forming an etch stop layer above the substrate and the gate structures, performing at least one angled ion implant process to implant at least one etch-inhibiting species into less than an entirety of the etch stop layer, after performing at least one angled ion implant process, forming a layer of insulating material above the etch stop layer, performing at least one first etching process to define an opening in the layer of insulating material and thereby expose a portion of the etch stop layer, performing at least one etching process on the exposed portion of the etch stop layer to define a contact opening therethrough that exposes a doped region formed in the substrate, and forming a conductive contact in the opening that is conductively coupled to the doped region.
    Type: Application
    Filed: April 25, 2012
    Publication date: October 31, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventor: Min-Hwa Chi
  • Publication number: 20130277721
    Abstract: A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
    Type: Application
    Filed: June 11, 2013
    Publication date: October 24, 2013
    Inventor: Howard E. Rhodes
  • Publication number: 20130280899
    Abstract: Improved silicide formation and associated devices are disclosed. An exemplary method includes providing a semiconductor material having spaced source and drain regions therein, forming a gate structure interposed between the source and drain regions, performing a gate replacement process on the gate structure to form a metal gate electrode therein, forming a hard mask layer over the metal gate electrode, forming silicide layers on the respective source and drain regions in the semiconductor material, removing the hard mask layer to expose the metal gate electrode, and forming source and drain contacts, each source and drain contact being conductively coupled to a respective one of the silicide layers.
    Type: Application
    Filed: June 17, 2013
    Publication date: October 24, 2013
    Inventors: Hung-Ming Chen, Chih-Hao Chang, Chih-Hao Yu
  • Publication number: 20130277639
    Abstract: A memory array including a plurality of memory cells. Each word line is electrically coupled to a set of memory cells, a gate contact and a pair of dielectric pillars positioned parallel to the word line with a spacer of electrically insulating material surrounding the gate contact. Also a method to prevent a gate contact from electrically connecting to a source contact for a plurality of memory cells on a substrate. The method includes depositing and etching gate material to partially fill a space between the pillars and to form a word line for the memory cells, etching a gate contact region for the word line between the pair of pillars, forming a spacer of electrically insulating material in the gate contact region, and depositing a gate contact between the pair of pillars to be in electrical contact with the gate material such that the spacer surrounds the gate contact.
    Type: Application
    Filed: April 20, 2012
    Publication date: October 24, 2013
    Applicant: International Business Machines Corporation
    Inventors: Matthew J. BrightSky, Chung H. Lam, Gen P. Lauer
  • Patent number: 8564068
    Abstract: A semiconductor device and methods for small trench patterning are disclosed. The device includes a plurality of gate structures and sidewall spacers, and an etch buffer layer disposed over the sidewall spacers. The etch buffer layer includes an overhang component disposed on the upper portion of the sidewall spacers with an edge that extends laterally. The width between the edges of adjacent overhang components is narrower than the width between adjacent sidewall spacers.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ya Hui Chang
  • Patent number: 8563412
    Abstract: A method of fabricating a semiconductor device includes forming gate patterns on a substrate, forming spacers on sidewalls of the gate patterns, forming a first capping insulation layer pattern on the gate patterns and the spacers, forming a second capping insulation layer pattern on the first capping insulation layer pattern, forming a passivation layer pattern filling contact holes between the gate patterns, removing the second capping insulation layer pattern while protecting the spacers using the passivation layer pattern, removing the passivation layer pattern to expose a top surface of the substrate, forming a silicide forming metal film on the surface of the substrate, and forming silicide patterns on the exposed top surface of the substrate.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-Tae Kim, Jong-Seo Hong
  • Publication number: 20130270614
    Abstract: Systems and methods are presented for controlling formation of a silicide region. A selective etch layer is utilized to control formation of a trench opening, and further can be utilized to open up a trench to facilitate correct exposure of an active Si region to subsequently form a silicide. Issues regarding over-dimension, under-dimension, and misalignment of a trench are addressed. The selective etch material is chosen to facilitate control of the trench formation and also to enable removal of the selective etch layer without affecting any adjacent structures/material. The selective etch layer can be an oxide, for example aluminum oxide, Al2O3. The selective etch layer can be utilized to prevent formation of silicide in a channel beneath a raised source/drain.
    Type: Application
    Filed: April 17, 2012
    Publication date: October 17, 2013
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Hiroyuki Yamasaki
  • Publication number: 20130273726
    Abstract: The semiconductor device includes a process monitoring pattern and an input/output (I/O) pad array area, the process monitoring pattern including a lower layer having a peripheral area surrounding a first internal area, the first internal area exposed by an internal open area, an external structure on the peripheral area of the lower layer, and a first dam disposed in the peripheral area spaced apart from the external structure by an external open area, the first dam defining the first internal area. The peripheral area overlaps the input/output (I/O) pad array area of the semiconductor device.
    Type: Application
    Filed: April 12, 2013
    Publication date: October 17, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Dong-Hyun HAN
  • Patent number: 8557648
    Abstract: Semiconductor devices and methods that include forming a fin field effect transistor by defining a fin hardmask on a semiconductor layer, forming a dummy structure over the fin hardmask to establish a planar area on the semiconductor layer, removing a portion of the fin hardmask that extends beyond the dummy structure, etching a semiconductor layer adjacent to the dummy structure to produce recessed source and drain regions, removing the dummy structure, etching the semiconductor layer in the planar area to produce fins, and forming a gate stack over the fins.
    Type: Grant
    Filed: January 11, 2012
    Date of Patent: October 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Paul Chang, Michael A. Guillorn, Chung-Hsun Lin, Jeffrey W. Sleight
  • Publication number: 20130264652
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a first gate structure and a second gate structure over a substrate. The first and second gate structures each include a high-k dielectric layer located over the substrate, a capping layer located over the high-k dielectric layer, an N-type work function metal layer located over the capping layer, and a polysilicon layer located over the N-type work function metal layer. The method includes forming an inter-layer dielectric (ILD) layer over the substrate, the first gate structure, and the second gate structure. The method includes polishing the ILD layer until a surface of the ILD layer is substantially co-planar with surfaces of the first gate structure and the second gate structure. The method includes replacing portions of the second gate structure with a metal gate. A silicidation process is then performed to the semiconductor device.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 10, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming Zhu, Jyun-Ming Lin, Wei Cheng Wu, Bao-Ru Young, Hak-Lay Chuang
  • Publication number: 20130264572
    Abstract: A homogenous thin film layer is patterned into a transparent conductive portion and a non-conductive portion without use of etching through the thin film. Instead, conductive fine-wires which are convertible in one embodiment into non-conductive fine-wires are selectively converted into the non-conductive form. In an alternate embodiment, the homogenous thin film layer which includes conductive fine-wires is provided in a curable liquid form and selected portions of the liquid formed are cured into being affixed to substrate. Remaining portions can be washed away. In the case of display devices using transparent electrodes, a thin thin-film transistor array substrate is provided where the initially homogenous thin film which is and then converted into patterned conductive and non-conductive sections forms the pixel-electrodes and/or common electrode of the display device.
    Type: Application
    Filed: November 15, 2012
    Publication date: October 10, 2013
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: Dae-Young LEE, Ki-Beom LEE, Kyu-Young KIM, Nam-Ok JUNG, Gug-Rae JO
  • Patent number: 8551874
    Abstract: A MOSFET is described incorporating a common metal process to make contact to the source, drain and the metal gate respectively which may be formed concurrently with the same metal or metals.
    Type: Grant
    Filed: May 8, 2010
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Soon-Cheon Seo, Bruce B. Doris, Chih-Chao Yang
  • Publication number: 20130256790
    Abstract: A semiconductor device includes buried gates formed in a semiconductor substrate in which active regions and an isolation layer are defined. A bit line is coupled to an active region between the buried gates and disposed to cross the buried gates. In the 6F2 structure, characteristics of the semiconductor device are improved by applying omitting a bit line contact plug.
    Type: Application
    Filed: December 18, 2012
    Publication date: October 3, 2013
    Applicant: SK HYNIX INC.
    Inventor: Chi Hwan JANG
  • Publication number: 20130256809
    Abstract: The present disclosure provides a semiconductor device. The semiconductor device includes an electrical-free dummy gate formed over a substrate. The dummy gate has an elongate shape and is oriented along a first direction. The semiconductor device includes a first functional gate formed over the substrate. The first functional gate has an elongate shape and is oriented along the first direction. The first functional gate is separated from the dummy gate in a second direction perpendicular to the first direction. A first conductive contact is formed on the first functional gate. The semiconductor device includes a second functional gate formed over the substrate. The second functional gate has an elongate shape and is oriented along the first direction. The second functional gate is aligned with and physically separated from the dummy gate in the first direction. A second conductive contact is formed on the second functional gate.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Chia-Chu Liu, Kuei Shun Chen, Chiang Mu-Chi
  • Publication number: 20130256904
    Abstract: A semiconductor device and a method for manufacturing the same are disclosed. A semiconductor device includes a contact hole formed over a semiconductor substrate so as to open an active region, a contact plug coupled to the active region in the contact hole and having a height lower than that of the contact hole, and a bit line that is coupled to the contact plug and has the same width as the contact plug. When forming a bit line of a cell region, a barrier metal layer is formed between a bit line contact plug and a bit line conductive layer, such that interfacial resistance is reduced, a thickness of the bit line conductive layer is increased, conductivity is improved, and the height of overall bit line is reduced, resulting in reduction in parasitic capacitance.
    Type: Application
    Filed: September 7, 2012
    Publication date: October 3, 2013
    Applicant: SK Hynix Inc.
    Inventor: Song Hyeuk IM
  • Patent number: 8546213
    Abstract: A high voltage ESD protective diode having high avalanche withstand capability and capable of being formed by using manufacturing steps identical with those for a high voltage transistor to be protected, the device having a structure in which a gate oxide film is formed over a substrate surface at a PN junction formed of an N type low concentration semiconductor substrate constituting a cathode region and a P type low concentration diffusion region constituting an anode region, and a gate electrode which is disposed overriding the gate oxide film and a field oxide film is connected electrically by way of a gate plug with an anode electrode, whereby an electric field at the PN junction is moderated upon avalanche breakdown to obtain a high avalanche withstand capability. Further, the withstand voltage can be adjusted by changing the length of the field oxide film.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: October 1, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Tomoyuki Miyoshi, Shinichiro Wada, Yohei Yanagida
  • Publication number: 20130252411
    Abstract: According one embodiment, a method for manufacturing a semiconductor device is provided, which includes forming a pair of element isolation insulation films on a semiconductor substrate, forming a gate electrode structure on sides of the gate electrode structure, selectively removing oxide films that are formed on a top surface of the diffusion layer and a top surface of the gate electrode by placing the substrate in a gas atmosphere selected from the group consisting of F, Cl, Br, I, H, O, Ar, or N; and irradiating the semiconductor substrate with microwave radiation. The method also includes depositing a metal film on a top surface of the diffusion layer and a top surface of the gate electrode, and a silicide film is formed by heating the substrate.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 26, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Makoto HONDA, Tomonori AOYAMA
  • Publication number: 20130252410
    Abstract: A method for forming a selective ohmic contact for a Group III-nitride heterojunction structured device may include forming a conductive layer and a capping layer on an epitaxial substrate including at least one Group III-nitride heterojunction layer and having a defined ohmic contact region, the capping layer being formed on the conductive layer or between the conductive layer and the Group III-nitride heterojunction layer in one of the ohmic contact region and non-ohmic contact region, and applying at least one of a laser annealing process and an induction annealing process on the substrate at a temperature of less than or equal to about 750° C. to complete the selective ohmic contact in the ohmic contact region.
    Type: Application
    Filed: August 30, 2012
    Publication date: September 26, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Xianyu WENXU, Jeong-Yub LEE, Chang -Youl MOON, Yong-Young PARK, Woo Young YANG, Jae-Joon OH, In-Jun HWANG
  • Publication number: 20130252412
    Abstract: A process for producing an integrated circuit on the surface of a substrate, the process including: producing a first layer, including active zones and insulating zones, on the surface of the substrate; producing gate zones on the surface of the first layer, the gate zones each being surrounded by insulating spacers; producing source/drain electrodes; producing a dielectric layer between the insulating spacers, the dielectric layer having an upper surface level with the upper surfaces of the gate zones; partially etching each gate zone so as to lower the upper surface of a first part of each gate zone; and depositing an insulating dielectric layer on the first parts of the gate zones.
    Type: Application
    Filed: July 22, 2011
    Publication date: September 26, 2013
    Applicant: Commissariat a l' energie atomique et aux energies alternatives
    Inventors: Thierry Poiroux, Sébastien Barnola, Yves Morand
  • Publication number: 20130252413
    Abstract: The semiconductor device includes: a columnar silicon layer on the planar silicon layer; a first n+ type silicon layer formed in a bottom area of the columnar silicon layer; a second n+ type silicon layer formed in an upper region of the columnar silicon layer; a gate insulating film formed in a perimeter of a channel region between the first and second n+ type silicon layers; a gate electrode formed in a perimeter of the gate insulating film, and having a first metal-silicon compound layer; an insulating film formed between the gate electrode and the planar silicon layer, an insulating film sidewall formed in an upper sidewall of the columnar silicon layer; a second metal-silicon compound layer formed in the planar silicon layer; and an electric contact formed on the second n+ type silicon layer.
    Type: Application
    Filed: May 16, 2013
    Publication date: September 26, 2013
    Applicant: Unisantis Eletronics Singapore Pte.Ltd.
    Inventors: Fujio MASUOKA, Hiroki Nakamura, Shintaro Arai, Tomohiko Kudo, Yu Jiang, King-Jien Chui, Yisuo Li, Xiang Li, Zhixian Chen, Nansheng Shen, Vladimir Bliznetsov, Kavitha Devi Buddharaju, Navab Singh
  • Publication number: 20130248988
    Abstract: A semiconductor device includes a semiconductor substrate and a plurality of gate electrodes including a part extended in a first direction in a plane parallel with the semiconductor substrate. The semiconductor substrate has a second semiconductor layer including a plurality of first conductive type pillars and second conductive type second pillars that are disposed on the first semiconductor layer, extending in the first direction in the plane parallel with the semiconductor substrate and in a third direction intersecting with a second direction orthogonal to the first direction, and arranged adjacent to each other in an alternate manner.
    Type: Application
    Filed: September 8, 2012
    Publication date: September 26, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Syotaro ONO, Wataru Saito, Toshiyuki Naka, Shunji Taniuchi, Hiroaki Yamashita
  • Patent number: 8541295
    Abstract: A non-planar semiconductor device is provided including at least one semiconductor nanowire suspended above a semiconductor oxide layer present within a portion of a bulk semiconductor substrate. The semiconductor oxide layer has a topmost surface that is coplanar with a topmost surface of the bulk semiconductor substrate. A gate surrounds a portion of the at least one suspended semiconductor nanowire, a source region located on a first side of the gate, and a drain region located on a second side of the gate. The source region is in direct contact with an exposed end portion of the at least one suspended semiconductor nanowire, and the drain region is in direct contact with another exposed end portion of the at least one suspended semiconductor nanowire. The source and drain regions have an epitaxial relationship with the exposed end portions of the suspended semiconductor nanowire.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: September 24, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey W. Sleight, Josephine B. Chang, Isaac Laurer, Shreesh Narasimha
  • Publication number: 20130240984
    Abstract: A manufacture includes a doped layer, a body structure over the doped layer, a trench defined in the doped layer, an insulator partially filling the trench, and a first conductive feature buried in, and separated from the doped layer and the body structure by, the insulator. The doped layer has a first type doping. The body structure has an upper surface and includes a body region. The body region has a second type doping different from the first type doping. The trench has a bottom surface. The first conductive feature extends from a position substantially leveled with the upper surface of the body structure toward the bottom surface of the trench. The first conductive feature overlaps the doped layer for an overlapping distance, and the overlapping distance ranging from 0 to 2 ?m.
    Type: Application
    Filed: March 14, 2012
    Publication date: September 19, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Chang CHENG, Fu-Yu CHU, Ruey-Hsin LIU
  • Publication number: 20130241007
    Abstract: A method includes providing a semiconductor substrate having intentionally doped surface regions, the intentionally doped surface regions corresponding to locations of a source and a drain of a transistor; depositing a layer a band edge gate metal onto a gate insulator layer in a gate region of the transistor while simultaneously depositing the band edge gate metal onto the surface of the semiconductor substrate to be in contact with the intentionally doped surface regions; and depositing a layer of contact metal over the band edge gate metal in the gate region and in the locations of the source and the drain. The band edge gate metal in the source/drain regions reduces a Schottky barrier height of source/drain contacts of the transistor and serves to reduce contact resistance. A transistor fabricated in accordance with the method is also described.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 19, 2013
    Applicant: International Business Machines Corporation
    Inventors: Kisik Choi, Christian Lavoie, Paul M. Solomon, Bin Yang, Zhen Zhang
  • Patent number: 8536656
    Abstract: A semiconductor structure is provided that includes a semiconductor substrate having a plurality of gate stacks located on a surface of the semiconductor substrate. Each gate stack includes, from bottom to top, a high k gate dielectric layer, a work function metal layer and a conductive metal. A spacer is located on sidewalls of each gate stack and a self-aligned dielectric liner is present on an upper surface of each spacer. A bottom surface of each self-aligned dielectric liner is present on an upper surface of a semiconductor metal alloy. A contact metal is located between neighboring gate stacks and is separated from each gate stack by the self-aligned dielectric liner. The structure also includes another contact metal having a portion that is located on and in direct contact with an upper surface of the contact metal and another portion that is located on and in direct contact with the conductive metal of one of the gate stacks.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ravikumar Ramachandran, Ramachandra Divakaruni, Ying Li