Cleaning Of Reaction Chamber Patents (Class 438/905)
  • Publication number: 20040221869
    Abstract: In a method of affecting cleaning or chamber process control to remove residues of fluorinated discharges from internal PECVD chamber hardware during manufacture of a semiconductor or integrated circuit, the improvement of removing the fluorinated discharges without opening the chamber and without causing chamber downtime, comprising:
    Type: Application
    Filed: February 25, 2004
    Publication date: November 11, 2004
    Inventors: Bradley C. Smith, David James
  • Patent number: 6814814
    Abstract: In a method of cleaning process residues formed on surfaces in a substrate processing chamber, a sacrificial substrate comprising a sacrificial material is placed in the chamber, a sputtering gas is introduced into the chamber, and the sputtering gas is energized to sputter the sacrificial material from the substrate. The sputtered sacrificial material reacts with residues on the chamber surfaces to clean them. In one version, the sacrificial substrate comprises a silicon-containing material that when sputtered deposits silicon on the chamber walls that reacts with and cleans fluorine-containing species that are left behind by a chamber cleaning process.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: November 9, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Alan W. Collins, Feng Gao, Tetsuya Ishikawa, Padmanaban Krishnaraj, Yaxin Wang
  • Publication number: 20040216768
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Application
    Filed: May 27, 2004
    Publication date: November 4, 2004
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: 6811615
    Abstract: Provided herein is a method for cleaning a process chamber by employing high power density light beam(s) to assist dissociating cleaning gaseous species at the cleaning site. Also provided herein is a method for cleaning the process chamber with laser ablation, wherein no cleaning gas is necessary.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: November 2, 2004
    Assignee: Applied Materials, Inc.
    Inventor: Sheng Sun
  • Patent number: 6805135
    Abstract: A cleaning solution is used to remove a byproduct derived from a decomposed substance of a process gas containing C and F. The cleaning solution contains 75 wt % N-methyl-2-pyrrolidone, 15 wt % ethylene glycol monobutyl ether, 0.5 wt % surfactant, and 9.5 wt % water. The content of an alkali metal in the cleaning solution is set to be less than 10 ppb.
    Type: Grant
    Filed: November 24, 2000
    Date of Patent: October 19, 2004
    Assignees: Nittou Chemical Industries, Ltd., Tokyo Electron Limited
    Inventors: Kenichi Hirota, Hitoshi Yamada, Kiyoshi Yuasa, Eiji Yamaguchi, Shinichi Kawaguchi, Takahiro Shimoda, Nobuyuki Nagayama
  • Patent number: 6796316
    Abstract: An atomic layer desposition (ALD) thin film deposition equipment having a cleaning apparatus, this equipment including a reactor in which a wafer is mounted and a thin film is deposited on the wafer, a first reaction gas supply portion for supplying a first reaction gas to the reactor, a second reaction gas supply portion for supplying a second reaction gas to the reactor, a first reaction gas supply line for connecting the first reaction gas supply portion to the reactor, a second reaction gas supply line for connecting the second reaction gas supply portion to the reactor, a first inert gas supply line for supplying an inert gas from inert gas supply source to the first reaction gas supply line, a second inert gas supply line for supplying the inert gas from the inert gas supply source to the second reaction gas supply line, an exhaust line for exhausting the gas from the reactor to the outside, and a cleaning gas supply line connected to the first reaction gas supply line for supplying a cleaning gas for c
    Type: Grant
    Filed: May 3, 2001
    Date of Patent: September 28, 2004
    Assignee: IPS Ltd.
    Inventor: Young-Hoon Park
  • Patent number: 6796313
    Abstract: In one aspect, the invention encompasses a method of utilizing a vaporization surface as an electrode to form a plasma within a vapor forming device. In another aspect, the invention encompasses a method of chemical vapor deposition. A vaporization surface is provided and heated. At least one material is flowed past the heated surface to vaporize the material. A deposit forms on the vaporization surface during the vaporization. The vaporization surface is then utilized as an electrode to form a plasma, and at least a portion of the deposit is removed with the plasma.
    Type: Grant
    Filed: August 21, 2001
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 6797188
    Abstract: A method of etching a silicon-containing material in a substrate comprises placing the substrate in a process chamber and exposing the substrate to an energized gas comprising fluorine-containing gas, chlorine-containing gas and sidewall-passivation gas. The silicon-containing material on the substrate comprises regions having different compositions, and the volumetric flow ratio of the fluorine-containing gas, chlorine-containing gas, and sidewall-passivation gas is selected to etch the compositionally different regions at substantially similar etch rates.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: September 28, 2004
    Inventors: Meihua Shen, Wei-nan Jiang, Oranna Yauw, Jeffrey Chinn
  • Patent number: 6793717
    Abstract: The present invention includes a filtered mask enclosure having an exterior portion and interior regions within the exterior portion such that the interior regions have a filtering region and a purging region connected to the filtering region. The present invention further includes a method of removing a first contaminant in a gas phase, a second contaminant in a solid phase, and a third contaminant having an electrical charge from a purge gas and flowing the purge gas through a vicinity of a mask while exposing a wafer with light through the mask.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: September 21, 2004
    Assignee: Intel Corporation
    Inventors: Han-Ming Wu, Giang Dao
  • Patent number: 6790258
    Abstract: A method and apparatus for trapping AlCl3 from an aluminum etch effluent includes a housing containing disposable trapping medium with a first trapping stage and a second trapping media positioned radially outward and axially downward, respectively, from the strap inlet at respective distances to take advantage of differences in heat exchange efficiencies between the trapping media and solid AlCl3 build-up on the trapping media and of resulting changes in partial vapor pressure of AlCl3 adjacent condensation surfaces as solid AlCl3 build-up occurs to initially induce condensation and build-up near the inlet, but then preferentially flow vapor to more distant trapping media as build-up occurs before the build-up clogs the inlet.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: September 14, 2004
    Assignee: MKS Instruments, Inc.
    Inventor: Youfan Gu
  • Patent number: 6790681
    Abstract: In this invention, a time period taken with a wafer W to be transferred to the heat processing apparatus in the post exposure baking unit through the out stage in the aligner, the wafer transfer mechanism, the transition unit, the wafer transfer mechanism, and the temperature regulation and transfer apparatus in the post exposure baking unit is controlled to be approximately constant.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: September 14, 2004
    Assignee: Tokyo Elecetron Limited
    Inventors: Masataka Matsunaga, Akira Miyata, Yuichi Douki
  • Publication number: 20040175964
    Abstract: A composition and method for fabricating a semiconductor wafer containing copper is disclosed, which method includes plasma etching a dielectric layer from the surface of the wafer, plasma ashing a resist from the surface of the wafer, and cleaning the wafer surface by contacting same with a cleaning formulation, which includes the following components and their percentage by weight ranges shown: (a) from about 0.01 to 80% by weight organic solvent, (b) from about 0.01 to 30% by weight copper chelating agent, (c) from about 0.01 to 10% by weight copper inhibitor, and (d) from about 0.01 to 70% by weight water.
    Type: Application
    Filed: March 5, 2003
    Publication date: September 9, 2004
    Inventors: Chun-Li Chou, Hun-Jan Tao, Peng-Fu Hsu
  • Patent number: 6784033
    Abstract: A method for the manufacture of an insulated gate field effect semiconductor device comprised of a semiconductor substrate, a gate insulating layer member having at least an insulating layer, and a gate electrode. The insulating layer is formed of silicon or aluminum nitride on the semiconductor substrate or the gate electrode by a photo CVD process.
    Type: Grant
    Filed: January 27, 1995
    Date of Patent: August 31, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6776851
    Abstract: A method for removing chamber deposits in between process operations in a semiconductor process chamber is provided. The method initiates with depositing a fluorine containing polymer layer over an inner surface of a semiconductor process chamber where the semiconductor chamber is empty. Then, a wafer is introduced into the semiconductor process chamber after depositing the fluorine containing polymer layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the fluorine containing polymer layer covering the inner surface of the semiconductor process chamber. Then, the wafer is removed from the semiconductor process chamber. Next, an oxygen based cleaning operation is performed. The oxygen based cleaning operation liberates fluorine from the fluorine containing polymer layer to remove a silicon based residue. An apparatus configured to remove chamber deposits between process operations is also provided.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 17, 2004
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, John E. Daugherty, Vahid Vahedi, Saurabh J. Ullal
  • Patent number: 6770567
    Abstract: Contaminants are generated during etching processes for forming electrodes of storage capacitors for very high density future memory cells, such as ferroelectric random access memory (FeRAM) cells. These contaminants include significant quantities of noble metals, and in particular iridium and iridium compound particulates. In order to prevent undesirable iridium and iridium compound particulates from adversely affecting subsequent etching processes performed in the chamber, the plasma metal etch chamber is seasoned by exposing interior surfaces of the chamber to a seasoning plasma generated from a gas mixture comprising at least two gases selected from the group consisting of BCl3, HBr, and CF4. The chamber seasoning method of the invention is also applicable to etch processes involving other noble metals, such as platinum.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: August 3, 2004
    Inventors: Yong Deuk Ko, Se Jin Oh, Chan Ouk Jung, Jeng H. Hwang
  • Patent number: 6772045
    Abstract: A system for determining dry cleaning timing, includes: a manufacturing apparatus configured to process materials assigned by a sequence of lots; an apparatus controller configured to control the manufacturing apparatus and obtaining operational conditions of the manufacturing apparatus as apparatus information; a lot information input terminal configured to obtain process conditions of one of the lots as lot information; an apparatus information storage unit configured to store the apparatus information from the apparatus controller as an apparatus information database; a lot information storage unit configured to store the lot information from the lot information input terminal as a lot information database; and a cleaning determination unit configured to determine timing to perform a dry cleaning of the manufacturing apparatus based on the apparatus information database and the lot information database.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: August 3, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shuji Katsui, Masayuki Tanaka, Masaki Kamimura, Hiroshi Akahori, Ichiro Mizushima, Takashi Nakao, Akihito Yamamoto, Shigehiko Saida, Yoshitaka Tsunashima, Yuuichi Mikata
  • Patent number: 6767836
    Abstract: Provided herein is a method for cleaning CVD reaction chambers with active oxygen species. The active oxygen species may also be mixed with active fluorine species. The active oxygen species are products of a plasma, which may be either generated within the CVD reaction chamber or generated remotely and introduced into the CVD reaction chamber.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: July 27, 2004
    Assignee: ASM Japan K.K.
    Inventors: Nelson Loke Chou San, Kenichi Kagami, Kiyoshi Satoh
  • Patent number: 6764606
    Abstract: In a plasma processing apparatus according to the present invention, a gas inlet port and a discharge port are provided on a chamber for introducing and discharging gas into and from the chamber respectively. A sample to be etched is placed on an electrode part, so that a high-frequency power source applies a high-frequency bias to the sample. An electromagnet provided on the periphery of a plasma generation area generates a magnetic field while a waveguide connected to an upper potion of the chamber introduces a microwave into the plasma generation area through a microwave introduction window. Electron cyclotron resonance is excited for the gas for generating plasma. At least a surface of the microwave introduction window exposed to the plasma generation area is made of quartz, while the gas contains fluorine. The apparatus having the aforementioned structure can remove a material adhering to the surface of the microwave introduction window when the sample is etched.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: July 20, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Toshihiro Yanase
  • Patent number: 6758224
    Abstract: A method of cleaning a CVD vacuum vessel which has an electrically conductive partition plate which divides an interior of the vacuum vessel into a plasma generating space and a film-deposition processing space, and in the electrically conductive partition plate there is a plurality of through-holes connecting the plasma generating space to the film-deposition processing space, the method includes the steps of feeding a cleaning gas into the plasma-generating space; generating active seeds by applying high-frequency electric power to electrodes arranged in the plasma-generating space; feeding the generated active species into the film-deposition processing space through the plurality of through-holes in the electrically conductive partition plate; and cleaning the film-deposition processing space by the active seeds which have been fed into this film-deposition processing space.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: July 6, 2004
    Assignee: Anelva Corporation
    Inventor: Hiroshi Nogami
  • Publication number: 20040106302
    Abstract: A method of forming a PE-TEOS layer of a semiconductor IC device provides uniformly thick PE-TEOS layers on a batch of wafers. First, a loading wafer cassette is prepared to provide the wafers to be processed. Next, a process atmosphere is pre-created in a processing chamber. Then the wafers are supplied in sequence into the chamber from the loading wafer cassette and the wafers are mounted on a heater table in the chamber. Next, the PE-TEOS layer is deposited on the wafers by spraying a process gas into the chamber through showerheads. Next, the wafers are discharged from the chamber. Once the chamber is cleared of wafers, the inside of the chamber is cleaned by supplying a cleaning gas into the chamber, and exciting the cleaning gas with RF power. Subsequently, more TEOS gas is supplied into the chamber through the showerheads without being excited by RF power to especially reduce the temperature of the showerheads and that prevailing inside the chamber.
    Type: Application
    Filed: October 27, 2003
    Publication date: June 3, 2004
    Inventor: Bong-Jun Jang
  • Patent number: 6743733
    Abstract: By conducting etching treatment using at least two steps with different compositions of gases for each step, and at least one step comprising using a gas capable of decomposing and vaporizing etching products in an etching apparatus continuously, semicondictor devices can be produced with high productivity, low contaminant and good reproducibility of treatment state.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: June 1, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyuki Kitsunai, Junichi Tanaka, Takashi Fujii, Motohiko Yoshigai
  • Publication number: 20040099927
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device comprising a silicon nitride layer over the anti-reflective coating including at most about 1¼ in-film particles per square millimeter of surface area particles or surface roughness features in the silicon nitride of about 120-150 nanometers. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Application
    Filed: November 17, 2003
    Publication date: May 27, 2004
    Inventor: Zhiping Yin
  • Patent number: 6737666
    Abstract: A cleaning end point detecting apparatus detects an end point of a cleaning process in which contamination attached to an inner wall of a reaction chamber is removed by introducing a cleaning gas into the chamber to produce a cluster cloud and detached particles. An irradiating unit irradiates a laser beam onto the cluster cloud and the detached particles within the reaction chamber to produce a scattered laser beam. A monitoring unit monitors the scattered laser beam as a two-dimensional image information. A judging unit judges the end point of the cleaning process on the basis of the two-dimensional image information. Preferably, the judging unit judges, as the end point of the cleaning process, a time instant when neither the detached particles nor the cluster cloud are detected on the basis of the two-dimensional image information.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: May 18, 2004
    Assignees: NEC Electronics Corporation, Tokyo Electron Limited
    Inventors: Natsuko Ito, Tsuyoshi Moriya, Fumihiko Uesugi, Yoshinori Kato, Masaru Aomori, Shuji Moriya, Mitsuhiro Tachibana
  • Publication number: 20040077162
    Abstract: A method and system for thermally activating a oxidizing cleaning gas for use in a semiconductor process chamber cleaning process. The oxidizing cleaning gas is thermally activated by reacting the oxidizing cleaning gas with heated inert gas. The resulting thermally activated oxidizing cleaning gas does not readily deactivate, thus providing enhanced cleaning capabilities.
    Type: Application
    Filed: October 18, 2002
    Publication date: April 22, 2004
    Inventors: Noel James Leeson, Graham Hodgson, Peter Harold Buckley, Richard A. Hogle
  • Patent number: 6723172
    Abstract: A method for processing semiconductor wafers includes processing a semiconductor wafer in a processing chamber having upper and lower chambers, decoupling the upper chamber from the lower chamber, cleaning the upper chamber, determining, while decoupled, that a leak rate and a particle count for the upper chamber meets predetermined criteria, and coupling the upper chamber to the lower chamber.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: April 20, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Steven K. Mayes
  • Publication number: 20040065344
    Abstract: Provided is a parallel-plate-type processing apparatus (10), which performs plasma CVD and includes a chamber (11) to be cleaned. To perform cleaning of the chamber (11), plasma of a gas including fluorine is generated outside the chamber (11), and supplied into the chamber (11). During the cleaning, an RF power is applied to electrode plates (12, 17) inside the chamber (11).
    Type: Application
    Filed: November 7, 2003
    Publication date: April 8, 2004
    Inventors: Shinsuke Oka, Osamu Yokoyama, Risa Nakase, Shuuichi Ishizuka
  • Patent number: 6715496
    Abstract: A method and apparatus for cleaning a semiconductor wafer processing system comprising a turbomolecular pump. In one embodiment, the invention may be reduced to practice by first supplying a cleaning agent to a chamber; pumping the cleaning agent from the chamber through an the exhaust port; at least partially opening a gate valve; and drawing at least a portion of the cleaning agent through the gate valve and into the turbomolecular pump.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: April 6, 2004
    Assignee: Applied Materials Inc.
    Inventors: Michael Chiu Kwan, Alan W. Collins, Jalel Hamila, Padmanabhan Krishnaraj, Zhengquan Tan
  • Patent number: 6716658
    Abstract: A method of preventing contaminating particles in a chamber in a deposition device is presented. In the method, a substrate is mounted within a chamber of gas-exposure equipment. The pressure within the chamber is reduced and a treatment gas is injected into the chamber to convert a surface of the substrate to be organic. After a desired time is elapsed, the pressure within the chamber is allowed increase to atmospheric pressure or above by introducing nitrogen gas into the chamber. Nitrogen gas introduction prevents entry of air, including the moisture within the air. Without the moisture, contaminating particles are not generated since the moisture is prevented from reacting with an ammonia component of the treatment gas.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: April 6, 2004
    Assignee: LG. Philips LDC Co., Ltd.
    Inventor: Keun No Park
  • Patent number: 6714832
    Abstract: A method of operating a vacuum processing system including a plurality of processing units for processing wafers, a transferring unit for carrying the wafers and a control unit for controlling the processing units and the transferring unit. At least two of the plurality of processing units are connected to the transferring unit and wafers are processed using the processing units. The method includes the steps of judging whether each of the processing units is operable or inoperable, isolating inoperable ones of the processing units judged in the judging step from wafer processing, carrying wafers to operable ones of the processing units using the transferring unit and processing the wafers using only the operable processing units.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 30, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6708700
    Abstract: A method of removing deposits from selected areas of a substrate-processing chamber comprising applying RF energy to a coil located around selected areas of the chamber is provided. Also provided is a substrate-processing chamber with improved cleaning properties having a coil capable of being coupled with an RF field disposed at selected areas of the chamber.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: March 23, 2004
    Assignee: ASM America
    Inventors: Ivo Raaijmakers, Franciscus B. Van Bilsen
  • Patent number: 6695925
    Abstract: A method and an equipment for cleaning a dispersion head of a chemical vapor deposition (CVD) machine applied to the semiconductor manufacturing industry are provided. The method includes the steps of providing a chemical reaction tank for immersing the dispersion head therein and cleaning the dispersion head with ultrasonic, providing a water tank for immersing the dispersion head therein, providing an organic solvent tank for immersing the dispersion head therein, and providing a drying system for drying the dispersion head. The equipment comprises a chemical reaction tank for immersing the dispersion head therein and cleaning the dispersion head with ultrasonic, a water tank for immersing the dispersion head therein, an organic solvent tank for immersing the dispersion head therein, and a drying system for drying the dispersion head.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: February 24, 2004
    Assignee: Winbond Electronics
    Inventor: Ching-Lun Lee
  • Patent number: 6693020
    Abstract: A method of preparing a semiconductor wafer having a integrated circuits formed on it that have pads formed of copper includes the steps of removing oxide from the copper pads and then the vacuum packing the wafer in a shock-proof container. The oxide may be removed from the copper pads in a number of ways. A first way includes cleaning the wafer in an alkaline solution, performing acid neutralization on the cleaned wafer, and then drying the wafer. A second way includes cleaning the wafer with an acid solution, rinsing the acid cleaned wafer with water, applying an anti-oxidant activator to the surface of the copper pads, rinsing the wafer with water after the application of the anti-oxidant activator, and then drying the water rinsed wafer. Yet a third way includes plasma cleaning the copper pads using a combination of about 5-10% Hydrogen and about 90-95% Argon and then sputtering a very thin layer of aluminum on a surface of the copper pads. The layer of aluminum has a thickness of about 1-5 nanometers.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: February 17, 2004
    Assignee: Motorola, Inc.
    Inventors: Kok Wai Mui, Fuaida Bte Harun, Lan Chu Tan, Mohd Faizairi Bin Mohd Nor
  • Publication number: 20040025903
    Abstract: An in-situ chamber cleaning method and apparatus used to remove adherent polymer deposits from the walls of a diode process reactor or chamber. Using this method, a high-density plasma is introduced into the reactor core and creates a reactive cleansing plasma by subsequent RF or capacitive discharge within the chamber. The cleansing plasma decomposes the polymer material into components, which may be readily removed from the chamber improving cleansing efficiency.
    Type: Application
    Filed: August 9, 2002
    Publication date: February 12, 2004
    Inventor: Bradley J. Howard
  • Patent number: 6676769
    Abstract: An apparatus and a method for cleaning a torch for a vertical furnace used in semiconductor processing are disclosed. The apparatus is constructed by two main components of a basket-shaped fixture body and a cleaning bath. The fixture body is formed of cylindrical shape with a top ring, a bottom ring and three support rods connecting the two rings together. The top ring is provided with an outwardly extending flange portion for engaging an opening in a cleaning bath for supporting and suspending the fixture body in the bath. The bottom ring is equipped with a pair of symmetrically positioned, inwardly extending arcuate-shaped flange portions adapted for supporting an edge of a bottom surface of the furnace torch in the cleaning bath.
    Type: Grant
    Filed: November 6, 2001
    Date of Patent: January 13, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: June-Yie Kao, Yie-Min Wu, Ming-Hsun Yang, Chii-Shing Yang
  • Patent number: 6675816
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 13, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Mitsuhiro Ichijo
  • Patent number: 6659111
    Abstract: A cleaning gas includes HF gas whose concentration is greater than or equal to 1 vol % and oxygen containing gas whose concentration ranges from 0.5 to 99 vol %. The oxygen containing gas includes at least one of O2 gas, O3 gas, N2O gas, NO gas, CO gas and CO2 gas. The cleaning gas is employed to remove a deposited material generated in a vacuum treatment apparatus for producing a thin film of at least one of Ti, W, Ta, Ru, Ir, a compound thereof and an alloy thereof.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: December 9, 2003
    Assignees: Central Glass Company, Limited, Tokyo Electron Limited
    Inventors: Isamu Mouri, Tetsuya Tamura, Mitsuya Ohashi, Tadayuki Kawashima, Masahiko Matsudo, Tatsuo Hatano
  • Patent number: 6660666
    Abstract: A processing station for forming an insulating film comprises a chemical vapor deposition (CVD) unit, a cleaning unit, a cassette station that receives a plurality of substrates, and a transfer system. The transfer system effectuates the conveyance of a substrate being processed between the CVD unit, the cleaning unit, and the cassette station. The CVD unit is placed in a position facing the cassette station, the transfer system is disposed between the CVD unit and the cassette station, and the cleaning unit is positioned proximate to the transfer system. Within the processing station, a substrate is subjected to an operating cycle that includes a plurality of deposition passes alternated with at least a wet cleaning operation. Each deposition pass forms a thickness of insulating layer smaller than the desired thickness of insulating film. Each wet cleaning operation removes residual particles from an intermediary insulating layer.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: December 9, 2003
    Assignee: Toppoly Optelectronics Corp.
    Inventor: Frank Lin
  • Patent number: 6656376
    Abstract: A cleaning process for cleaning CVD units is disclosed. In the cleaning process, alkaline earth metal and/or metal-containing process residues, which form an amorphous film on reactor walls, are removed using a dry etching medium containing free diketones at a greatly reduced pressure and an elevated temperature. In the process, the free diketones react with the alkaline earth metals or metals to form volatile complexes.
    Type: Grant
    Filed: July 26, 1999
    Date of Patent: December 2, 2003
    Assignee: Siemens Aktiengesellschaft
    Inventors: Elke Fritsch, Christine Dehm, Hermann Wendt, Volker Weinrich
  • Patent number: 6647993
    Abstract: A substrate processing system includes a processing chamber and a plasma source located external to the chamber. A conduit connects the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber. A shower head, disposed between the plasma source and an interior region of the chamber, can serve as an electrode and also can serve as a gas distribution mechanism. The shower head includes a surface treatment, such as a non-anodized aluminum outer layer, an electro-polished surface of bare aluminum, or a fluorine-based protective outer layer. The surface-treated shower head improves the rate of removal of materials deposited on the interior surfaces of the chamber during cleaning, reduces contamination of substrates during processing, and provides more efficient use of the power source used for heating the substrate during processing.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: November 18, 2003
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Quanyuan Shang, Sheng Sun, Kam S. Law, Emanuel Beer
  • Publication number: 20030211735
    Abstract: Embodiments of the present invention include a method of depositing an improved seasoning film. In one embodiment the method includes, prior to performing a substrate processing operation, forming a layer of silicon over an interior surface of the substrate processing chamber as opposed to a layer of silicon oxide. In certain embodiments, the layer of silicon comprises at least 70% atomic silicon, is deposited from a high density silane (SinH2n+2) process gas and/or is deposited from a plasma having a density of at least 1×1011 ions/cm3.
    Type: Application
    Filed: June 16, 2003
    Publication date: November 13, 2003
    Applicant: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6645778
    Abstract: Disclosed is a method for preparing ferroelectric semiconductive coatings which is capable of coating the ferroelectric semiconductor onto a metal and forming electron-hole pairs on the surface of the ferroelectric semiconductor by using 440 V and 30K˜100K Hz alternating current electric energy of a high voltage and a high frequency as an energy source, based upon an energy level difference between the semiconductor and the metal, whereby it can exhibit an effective surface reaction in the range of the oxidation and reduction reaction even in the closed space where no ultraviolet irradiation occurs.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: November 11, 2003
    Inventor: Gil Hong Ahn
  • Publication number: 20030205237
    Abstract: A method of cleaning the interior of a processing chamber first performs a halogenation treatment by supplying a treatment gas containing a halogenating gas into the processing chamber and heating a support member for a target substrate, thereby halogenating a metal element in a by-product film. A reduction treatment is then performed by supplying a treatment gas containing a reducing gas into the processing chamber, thereby reducing a halide of the metal element and liberating the metal element. An oxidation treatment is then performed by supplying a treatment gas containing an oxidizing gas into the processing chamber and heating the casing walls of the processing chamber, thereby passivating the liberated metal element by oxidation.
    Type: Application
    Filed: May 20, 2003
    Publication date: November 6, 2003
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takeshi Sakuma
  • Patent number: 6642091
    Abstract: There is provided a method of fabricating a thin-film semiconductor device, including the steps of (a) melting and recrystallizing at least a surface of a thin semiconductor film formed on a substrate, in a pressure lower than an atmospheric pressure or in inert gas atmosphere, (b) keeping the substrate in atmosphere including oxygen gas, and (c) forming an insulating film on the thin semiconductor film with the substrate being kept in a pressure lower than an atmospheric pressure or inert gas atmosphere.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: November 4, 2003
    Assignee: NEC Corporation
    Inventor: Hiroshi Tanabe
  • Publication number: 20030196680
    Abstract: A Process Module (“PM”) is designed to facilitate Transport Polymerization (“TP”) of precursors that are useful for preparations of low Dielectric Constant (“∈”) films. The PM consists primarily of a Material Delivery System (“MDS”) with a high temperature Vapor Phase Controller (“VFC”), a TP Reactor, a Treatment Chamber, a Deposition Chamber and a Pumping System. The PM is designed to facilitate TP for new precursors and for film deposition and stabilization processes.
    Type: Application
    Filed: April 19, 2002
    Publication date: October 23, 2003
    Applicant: DIELECTRIC SYSTEMS, INC
    Inventors: Chung J. Lee, Oanh Nguyen, Wei Shiang Charles Lee, Michael Solomensky, Atul Kumar, James Yu Chung Chang, Binh Nguyen
  • Patent number: 6635118
    Abstract: This invention relates to water-based alkaline cleaning solutions and their use as an environmentally safer replacement of organic solvents to remove photoresist, polyimide residue and other interlevel dielectric polymer coating residue from polymer film apply equipment, specifically, spin coater bowl and assembly parts consisting of a teflon top shield, stainless steel plate, and a bottom teflon spin coating bowl used in semiconductor device fabrication processes.
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: October 21, 2003
    Assignee: International Business Machines Corporation
    Inventors: Harbans S. Sachdev, Richard A. Cormack, Gerard V. Capogna, Felice J. Mancaruso, Krishna Sachdev
  • Patent number: 6626185
    Abstract: A plasma cleaning method for removing deposits in a CVD chamber. The method includes introducing a cleaning gas comprising a fluorine-based gas into the chamber. A plasma is formed by exposing the cleaning gas to an inductive field generated by resonating a radio frequency current in a RF antenna coil. A plasma cleaning step is performed by contacting interior surfaces of the chamber with the plasma for a time sufficient to remove the deposits on the interior surfaces. An advantage of the plasma cleaning method is that it allows for in-situ cleaning of the chamber at high rates, thereby effectively reducing equipment downtime. The method has particular applicability in the cleaning of a PECVD process chamber.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: September 30, 2003
    Assignee: LAM Research Corporation
    Inventors: Alex Demos, Paul Kevin Shufflebotham, Michael Barnes, Huong Nguyen, Brian McMillin, Monique Ben-Dor
  • Patent number: 6626188
    Abstract: The present invention relates generally to the field of semiconductor device manufacturing, and more specifically to a method for cleaning and preconditioning a dome in a chemical vapor deposition system. During cleaning, the direction of flow of cooling water through an induction coil in the dome is reversed. During preconditioning, the direction of cooling water flow is preferably reversed again, such that it is the same direction as during deposition. The preconditioning portion of the method comprises introducing a hydrogen gas into the CVD chamber, and then introducing a mixture of hydrogen gas and nitrogen gas into the chamber.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: September 30, 2003
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Thomas H. Ivers, Pavel Smetana
  • Patent number: 6613242
    Abstract: Ruthenium, osmium and their oxides can be etched simply and rapidly by supplying an atomic oxygen-donating gas, typically ozone, to the aforementioned metals and their oxides through catalysis between the metals and their reactors and application of the catalysis not only to the etching but also to chamber cleaning ensures stable operation of reactors and production of high quality devices.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: September 2, 2003
    Inventors: Miwako Nakahara, Toshiyuki Arai, Shigeru Ohno, Takashi Yunogami, Sukeyoshi Tsunekawa, Kazuto Watanabe
  • Patent number: 6610211
    Abstract: The invention encompasses methods of processing internal surfaces of a chemical vapor deposition reactor. In one implementation, material is deposited over internal surfaces of a chemical vapor deposition reactor while processing semiconductor substrates therein. The deposited material is treated with atomic oxygen. After the treating, at least some of the deposited material is etched from the reactor internal surfaces. In one embodiment, first etching is conducted of some of the deposited material from the reactor internal surfaces. After the first etching, remaining deposited material is treated with atomic oxygen. After the treating, second etching is conducted of at least some of the remaining deposited material from the reactor internal surfaces. In one embodiment, the deposited material is first treated with atomic oxygen. After the first treating, first etching is conducted of some of the deposited material from the reactor internal surfaces.
    Type: Grant
    Filed: March 1, 2000
    Date of Patent: August 26, 2003
    Assignee: Micron Technology, Inc.
    Inventors: F. Daniel Gealy, Husam N. Al-Shareef, Scott Jeffrey DeBoer
  • Patent number: 6610123
    Abstract: The present invention includes a filtered mask enclosure having an exterior portion and interior regions within the exterior portion such that the interior regions have a filtering region and a purging region connected to the filtering region. The present invention further includes a method of removing a first contaminant in a gas phase, a second contaminant in a solid phase, and a third contaminant having an electrical charge from a purge gas and flowing the purge gas through a vicinity of a mask while exposing a wafer with light through the mask.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: August 26, 2003
    Assignee: Intel Corporation
    Inventors: Han-Ming Wu, Giang Dao