Silicon Carbide Semiconductor Patents (Class 438/931)
  • Patent number: 6511920
    Abstract: A method of forming an optical marker layer for etch endpoint determination in integrated circuit fabrication processes is disclosed. The optical marker layer is used in conjunction with organic and/or carbon-containing material layers that are used as bulk insulating materials and barrier materials. The optical marker layer is formed on the bulk insulating material layer and/or the barrier material layer by incorporating an optical marker into the surface thereof. The optical marker is incorporated into the surface of the bulk insulating material layer and/or the barrier material layer by treating such layer with an optical marker-containing gas. The optical marker layer provides an optical marker emission spectrum when it is etched during a subsequent patterning step.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: January 28, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Huong Thanh Nguyen, Yunsang Kim, Ellie Yieh, Li-Qun Xia
  • Patent number: 6506692
    Abstract: A method of converting a hydrophobic surface of a silicon carbide layer to a hydrophilic surface is described. That method comprises forming a silicon carbide containing layer on a substrate, then operating a PECVD reactor to generate a plasma that converts the surface of that layer from a hydrophobic surface to a hydrophilic surface. Also described is a method for making a semiconductor device that employs this technique.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: January 14, 2003
    Assignee: Intel Corporation
    Inventor: Ebrahim Andideh
  • Publication number: 20030006415
    Abstract: In a SiC substrate (10), a first active region (12) composed of n-type heavily doped layers (12a) and undoped layers (12b), which are alternately stacked, and a second active region (13) composed of p-type heavily doped layers (13a) and undoped layers (13b), which are alternately stacked, are provided upwardly in this order. A Schottky diode (20) and a pMOSFET (30) are provided on the first active region (12). An nMOSFET (40), a capacitor (50), and an inductor (60) are provided on the second active region (13). The Schottky diode (20) and the MOSFETs (30, 40) have a breakdown voltage characteristic and a carrier flow characteristic due to a multilayer structure composed of &dgr;-doped layers and undoped layers and are integrated in a common substrate.
    Type: Application
    Filed: February 26, 2002
    Publication date: January 9, 2003
    Inventors: Toshiya Yokogawa, Kunimasa Takahashi, Makoto Kitabatake, Osamu Kusumoto, Takeshi Uenoyama, Koji Miyazaki
  • Patent number: 6486082
    Abstract: A low dielectric constant film having silicon-carbon bonds and dielectric constant of about 3.0 or less, preferably about 2.5 or less, is provided. The low dielectric constant film is deposited by reacting a cyclic organosilicon compound and an aliphatic organosilicon compound with an oxidizing gas while applying RF power. The carbon content of the deposited film is between about 10 and about 30 atomic percent excluding hydrogen atoms, and is preferably between about 10 and about 20 atomic percent excluding hydrogen atoms.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: November 26, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Seon-Mee Cho, Peter Wai-Man Lee, Chi-I Lang, Dian Sugiarto, Chen-An Chen, Li-Qun Xia, Shankar Venkataraman, Ellie Yieh
  • Patent number: 6486061
    Abstract: A method for providing a dielectric film having enhanced adhesion and stability. The method includes a post deposition treatment that densifies the film in a reducing atmosphere to enhance stability if the film is to be cured ex-situ. The densification generally takes place in a reducing environment while heating the substrate. The densification treatment is particularly suitable for silicon-oxygen-carbon low dielectric constant films that have been deposited at low temperature.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: November 26, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Frederic Gaillard, Ellie Yieh, Tian H. Lim
  • Publication number: 20020160627
    Abstract: Method for treating and/or coating a surface of an object, especially for coating a surface of a substrate such as a semiconductor component or solar cell, in which the surface is supplied with a gas that contains particles that will interact and/or react with the surface, forming a coating thereon. The surface of the object is oriented at an angle &agr; from the vertical, and the gas is directed toward the surface such that it flows along the object by force of convection, starting from the base area of the surface of the object.
    Type: Application
    Filed: April 2, 2002
    Publication date: October 31, 2002
    Inventors: Thomas Kunz, Hilmar Von Campe
  • Publication number: 20020153594
    Abstract: A dielectric structure is disclosed for silicon carbide-based semiconductor devices. In gated devices, the structure includes a layer of silicon carbide, a layer of silicon dioxide on the silicon carbide layer, a layer of another insulating material on the silicon dioxide layer, with the insulating material having a dielectric constant higher than the dielectric constant of silicon dioxide, and a gate contact to the insulating material. In other devices the dielectric structure forms an enhanced passivation layer or field insulator.
    Type: Application
    Filed: February 26, 2002
    Publication date: October 24, 2002
    Inventors: Lori A. Lipkin, John Williams Paimour
  • Publication number: 20020148534
    Abstract: A gallium nitride semiconductor layer is fabricated by masking an underlying gallium nitride layer with a first mask that includes a first array of openings therein and growing the underlying gallium nitride layer through the first array of openings and onto the first mask, to thereby form a first overgrown gallium nitride semiconductor layer. The first overgrown layer is then masked with the second mask that includes a second array of openings therein. The second array of openings is laterally offset from the first array of openings. The first overgrown gallium nitride layer is then grown through the second array of openings and onto the second mask, to thereby form a second overgrown gallium nitride semiconductor layer. Microelectronic devices may then be formed in the second overgrown gallium nitride semiconductor layer.
    Type: Application
    Filed: February 9, 2001
    Publication date: October 17, 2002
    Applicant: North Carolina State University
    Inventors: Robert F. Davis , Ok-Hyun Nam
  • Patent number: 6461944
    Abstract: A method for growing arrays of large-area device-size films of step-free (i.e., atomically flat) SiC surfaces for semiconductor electronic device applications is disclosed. This method utilizes a lateral growth process that better overcomes the effect of extended defects in the seed crystal substrate that limited the obtainable step-free area achievable by prior art processes. The step-free SiC surface is particularly suited for the heteroepitaxial growth of 3C (cubic) SiC, AlN, and GaN films used for the fabrication of both surface-sensitive devices (i.e., surface channel field effect transistors such as HEMT's and MOSFET's) as well as high-electric field devices (pn diodes and other solid-state power switching devices) that are sensitive to extended crystal defects.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: October 8, 2002
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Philip G. Neudeck, J. Anthony Powell
  • Publication number: 20020139992
    Abstract: Openings are formed in a laminate of a polycrystalline silicon film and an LTO film on a channel layer. While the laminate is used as a mask, impurities are implanted into a place in the channel layer which is assigned to a source region. Also, impurities are implanted into another place in the channel layer which is assigned to a portion of a second gate region. A portion of the polycrystalline silicon film which extends from the related opening is thermally oxidated. The LTO film and the oxidated portion of the polycrystalline silicon film are removed. While a remaining portion of the polycrystalline silicon film is used as a mask, impurities are implanted into a place in the channel layer which is assigned to the second gate region. Accordingly, the source region and the second gate region are formed on a self-alignment basis which suppresses a variation in channel length.
    Type: Application
    Filed: March 28, 2002
    Publication date: October 3, 2002
    Inventors: Rajesh Kumar, Hiroki Nakamura, Jun Kojima
  • Patent number: 6436822
    Abstract: A method of forming a carbon doped oxide dielectric material on a substrate is described. That method comprises introducing into a chemical vapor deposition apparatus an alkyl oxysilane precursor. That apparatus is then operated under conditions that cause a carbon doped oxide to form on the substrate, while maintaining the substrate temperature at less than about 200° C.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: August 20, 2002
    Assignee: Intel Corporation
    Inventor: Steven N. Towle
  • Patent number: 6429041
    Abstract: Silicon carbide devices and methods of fabricating silicon carbide devices are provided by forming a first p-type silicon carbide epitaxial layer on an n-type silicon carbide substrate. At least one first region of n-type silicon carbide is formed extending through the first p-type silicon carbide epitaxial layer and to the n-type silicon carbide substrate so as to provide at least one channel region in the first p-type silicon carbide epitaxial layer. At least one second region of n-type silicon carbide is also formed adjacent and spaced apart from the first region of n-type silicon carbide. A gate dielectric is formed over the first region of n-type silicon carbide and at least a portion of the second region of n-type silicon carbide. A gate contact is formed on the gate dielectric. A first contact is also formed so as to contact a portion of the p-type epitaxial layer and the second region of n-type silicon carbide. A second contact is also formed on the substrate.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: August 6, 2002
    Assignee: Cree, Inc.
    Inventors: Sei-Hyung Ryu, Joseph J. Sumakeris, Anant K. Agarwal, Ranbir Singh
  • Patent number: 6410396
    Abstract: Devices and methods for fabricating wholly silicon carbide heterojunction bipolar transistors (HBTs) using germanium base doping to produce suitable emitter/base heterojunctions. In one variation, all device layers are are grown epitaxially and the heterojunction is created by introducing a pseudoalloying material, such as germanium, to form a graded implant. In other variations, the device epitaxial layers are 1) grown directly onto a semi-insulating substrate, 2) the semi-insulating epitaxial layer is grown onto a conducting substrate; 3) the subcollector is grown on a lightly doped p-type epitaxial layer grown on a conducting substrate; and 4) the subcollector is grown directly on a conducting substrate. Another variation comprises a multi-finger HBT with bridging conductor connections among emitter fingers. Yet another variation includes growth of layers using dopants other than nitrogent or aluminum.
    Type: Grant
    Filed: April 4, 2001
    Date of Patent: June 25, 2002
    Assignee: Mississippi State University
    Inventors: Jeffrey B. Casady, Michael S. Mazzola, Stephen E. Saddow
  • Patent number: 6407014
    Abstract: The invention provides a method for the production of high quality thermally grown oxide on top of silicon carbide. The high quality oxide is obtained by selectively removing the carbon from the silicon carbide in the areas where oxide formation is desired or required.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: June 18, 2002
    Assignee: Philips Electronics North America Corporation
    Inventor: Dev Alok
  • Patent number: 6406978
    Abstract: A method of removing silicon carbide. A silicon wafer is used as a dummy wafer for inspecting the properties of a silicon carbide thin film which is to be formed thereover. A silicon nitride layer with a thickness larger than about 1000 angstroms is formed on the dummy wafer as a base layer of the silicon carbid thin film. The silicon carbide thin film is then formed on the base layer. The property inspection of the silicon carbide thin film is performed. After the properties inspection, the silicon carbide is stripped using a high density hydrogen plasma. After the step of high density hydrogen plasma, if the remaining silicon nitride layer is thicker than about 500 angstroms, the remaining silicon nitride layer can be used as the base layer again for forming and inspecting the properties of the silicon carbide thin film.
    Type: Grant
    Filed: November 18, 2000
    Date of Patent: June 18, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang, Chien-Mei Wang
  • Patent number: 6399489
    Abstract: A method of depositing a film, such as a barrier layer, on a substrate using a gaseous mixture including a hydrocarbon-containing gas and a silicon-containing gas. Suitable hydrocarbon-containing gases include alkanes such as methane (CH4), ethane (C2H6), butane (C3H8), propane (C4H10), etc. Suitable silicon-containing gases include silanes such as monosilane (SiH4). The method generally comprises providing a suitable gaseous mixture to the chamber, generating a plasma from the gaseous mixture, and depositing a film onto the substrate using the plasma. In a preferred embodiment, the film is deposited in a high-density plasma chemical vapor deposition (HDP-CVD) system. The gaseous mixture typically includes a silicon containing gas, such as an alkane, and a hydrocarbon containing gas, such as a silane. Embodiments of the method of the present invention can integrated stack structures having overall dielectric constant of about 4.0 or less.
    Type: Grant
    Filed: November 1, 1999
    Date of Patent: June 4, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Hichem M'Saad, Seon Mee Cho, Dana Tribula
  • Publication number: 20020055265
    Abstract: A method of fabricating an integrated circuit on a silicon carbide substrate is disclosed that eliminates wire bonding that can otherwise cause undesired inductance. The method includes fabricating a semiconductor device on a first surface of a silicon carbide substrate and with at least one metal contact for the device on the first surface of the substrate. The opposite, second surface of the substrate is then ground and polished until it is substantially transparent. The method then includes masking the polished second surface of the silicon carbide substrate to define a predetermined location for a via that is opposite the device metal contact on the first surface; etching the desired via through the desired masked location until the etch reaches the metal contact on the first surface; and metallizing the via to provide an electrical contact from the second surface of the substrate to the metal contact and to the device on the first surface of the substrate.
    Type: Application
    Filed: November 8, 2001
    Publication date: May 9, 2002
    Inventor: Zoltan Ring
  • Publication number: 20020052102
    Abstract: A method for manufacturing a SiC device embraces (a) depositing a polysilicon film above a SiC substrate; (b) delineating the polysilicon film into required pattern; and (c) annealing the SiC substrate in a water rich ambient to selectively grow a thick localized thermal oxide film above the SiC substrate. At the surface of SiC substrate, source/drain regions and substrate contact region are formed. In the water rich ambient, the H2O partial pressure is so maintained that it is more than 0.95.
    Type: Application
    Filed: March 27, 2001
    Publication date: May 2, 2002
    Applicant: Nissan Motor Co., Ltd.
    Inventor: Norihiko Kiritani
  • Patent number: 6376276
    Abstract: There is provided a method of reliably preparing a diamond semiconductor by irradiating diamond with a corpuscular ray. In this method, when a diamond substrate is irradiated with a corpuscular ray, the diamond substrate is maintained at a temperature of 300° C. to 2000° C., the angle of the surface of the diamond substrate irradiated is set within −20° to +20° to the (001) crystal plane of the diamond substrate, and the angle of the direction of the corpuscular ray is set within −20° to +20° to the <001> crystal orientation of the diamond substrate. Preferably, the direction of the corpuscular ray forms an angle of 3° to 10° with the <001> crystal orientation.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: April 23, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Ryuichi Oishi, Yoshinobu Nakamura
  • Patent number: 6376900
    Abstract: In single crystal SiC 1, growing single crystal SiC 3 is integrally formed on a surface of a single crystal hexagonal (6H type) &agr;-SiC substrate 2 used as a seed crystal. The number of micropipes 4A of the growing single crystal SiC 3 is less than that of the micropipes 4B of the single crystal &agr;-SiC substrate 2, and the thickness t3 thereof is less than the thickness t2 of the single crystal &agr;-SiC substrate 2, thereby making it possible to obtain the high quality-single crystal SiC wherein the number of the micropipes per unit area is less, thereby decreasing the distortion in the neighborhood of the micropipes. This can provide the high-quality single crystal SiC which can be practically used as a substrate wafer for fabricating a semiconductor device.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: April 23, 2002
    Assignee: Nippon Pillar Packing Co., Ltd.
    Inventors: Yoshimitsu Yamada, Kichiya Tanino, Toshihisa Maeda
  • Patent number: 6365527
    Abstract: A silicon carbide film is formed in a manner which avoids the high level contents of oxygen by depositing the film in at least two consecutive in-situ steps. Each step comprises plasma enhanced chemical vapor deposition (PECVD) of silicon carbride and ammonia plasma treatment to remove oxygen contained in the deposit silicon carbide. The disclosed method is found to enhance several insulation properties of the silicon carbide film and can be easily adapted into production-level IC processing.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: April 2, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang
  • Patent number: 6365494
    Abstract: A component is produced on a substrate made of SiC. The component has at least one ohmic contact and at least one Schottky contact. The component is brought to a temperature of more than 1300° C. at least during the growth of an epitaxial layer. To ensure that the production of the ohmic contact does not lead to impairment of other structures on the component and that the ohmic contact, for its part, is insensitive with respect to later method steps at high temperatures, the first metal is applied to the substrate for the ohmic contact before the epitaxial layer is grown.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: April 2, 2002
    Assignee: SiCED Electronics Development GmbH & Co. KG.
    Inventors: Roland Rupp, Arno Wiedenhofer
  • Patent number: 6362026
    Abstract: A silicon semiconductor die comprises a heavily doped silicon substrate and an upper layer comprising doped silicon of a first conduction type disposed on the substrate. The upper layer comprises a well region of a second, opposite conduction type adjacent an edge termination zone that comprises a layer of a material having a higher critical electric field than silicon. Both the well region and adjacent edge termination zone are disposed at an upper surface of the upper layer, and an oxide layer overlies the upper layer and the edge termination zone. A process for forming a silicon die having improved edge termination. The process comprises forming an upper layer comprising doped silicon of a first conduction type on a heavily doped silicon substrate, and forming an edge termination zone that comprises a layer of a material having a higher critical electric field than silicon at an upper surface of the upper layer.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: March 26, 2002
    Assignee: Intersil Americas Inc.
    Inventors: Jun Zeng, Gary Mark Dolry, Praveen MurAleedharan
  • Publication number: 20020034852
    Abstract: The present invention provides semiconductor devices having at least one silicon region in a silicon carbide wafer in which is fabricated a low voltage semiconductor device such as for example, MOSFET devices, BiCMOS devices, Bipolar devices, etc., and on the same chip, at least one silicon carbide region in which is fabricated a high voltage (i.e., >1000V) semiconductor device using techniques well known in the art, such as for example, LDMOSFET, UMOSFET, DMOSFET, IGBT, MESFET, and JFET devices.
    Type: Application
    Filed: September 14, 2001
    Publication date: March 21, 2002
    Applicant: PHILIPS ELECTRONICS NORTH AMERICA CORPORATION
    Inventor: Dev Alok
  • Patent number: 6358806
    Abstract: A method for fabricating a semiconducting device on a substrate, where the improvement includes forming a strained silicon carbide channel layer on the substrate. A silicon layer is formed on top of the strained silicon carbide channel layer. A gate insulation layer is formed on top of the silicon layer and strained silicon carbide channel layer, at a temperature that does not exceed about eight hundred centigrade. A gate electrode is formed on top of the gate insulation layer, and the gate electrode is patterned. A low dose drain dopant is impregnated into the substrate, and activated with a first laser anneal. A source drain dopant is impregnated into the substrate, and activated with a second laser anneal. After the step of activating the low dose drain dopant with the first laser anneal, an insulating layer is formed around the gate electrode, at a temperature that does not exceed about eight hundred centigrade, and a spacer is formed around the gate electrode.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: March 19, 2002
    Assignee: LSI Logic Corporation
    Inventor: Helmut Puchner
  • Patent number: 6350704
    Abstract: An integrated circuit includes at least one porous silicon oxycarbide (SiOC) insulator, which provides good mechanical strength and a low dielectric constant (e.g., ∈R<2) for minimizing parasitic capacitance. The insulator provides IC isolation, such as between circuit elements, between interconnection lines, between circuit elements and interconnection lines, or as a passivation layer overlying both circuit elements and interconnection lines. The low dielectric constant silicon oxycarbide isolation insulator of the present invention reduces the parasitic capacitance between circuit nodes. As a result, the silicon oxycarbide isolation insulator advantageously provides reduced noise and signal crosstalk between circuit nodes, reduced power consumption, faster circuit operation, and minimizes the risk of potential timing faults.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: February 26, 2002
    Assignee: Micron Technology Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6348388
    Abstract: A process for fabricating a gate oxide of a vertical transistor. In a first step, a trench is formed in a substrate, the trench extending from a top surface of the substrate and having a trench bottom and a trench side wall. The trench side wall comprises a <100> crystal plane and a <110> crystal plane. Next, a sacrificial layer having a uniform thickness is formed on the trench side wall. Following formation of the sacrificial layer, nitrogen ions are implanted through the sacrificial layer such that the nitrogen ions are implanted into the <110> crystal plane of the trench side wall, but not into the <100> crystal plane of the trench side wall. The sacrificial layer is then removed and the trench side wall is oxidized to form the gate oxide.
    Type: Grant
    Filed: September 20, 2000
    Date of Patent: February 19, 2002
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Johnathan E. Faltermeier, Ulrike Gruening, Suryanarayan G. Hegde, Rajarao Jammy, Brian S. Lee, Helmut H. Tews
  • Patent number: 6344663
    Abstract: A monollithic CMOS integrated device formed in silicon carbide and method of fabricating same. The CMOS integrated device includes a layer of silicon carbide of a first conductivity type with a well region of a second conductivity type formed in the layer of silicon carbide. A MOS field effect transistor is formed in the well region and a complementary MOS field effect transistor is formed in the silicon carbide layer. The method of fabrication of CMOS silicon carbide includes formation of an opposite conductivity well region in a silicon carbide layer by ion implantation. Source and drain contacts are also formed by selective ion implantation in the silicon carbide layer and the well region. A gate dielectric layer is formed by deposition and reoxidation. A gate electrode is formed on the gate dielectric such that a channel region is formed between the source and the drain when a bias is applied to the gate electrode.
    Type: Grant
    Filed: April 15, 1996
    Date of Patent: February 5, 2002
    Assignee: Cree, Inc.
    Inventors: David B. Slater, Jr., Lori A. Lipkin, Alexander A. Suvorov, John W. Palmour
  • Patent number: 6337292
    Abstract: The present method of forming a silicon oxide layer comprises providing two frequency excitation plasma CVD device which comprises a high frequency electrode, a susceptor electrode, and two matching box for impedance matching between the electrodes and a power supply, wherein one side electrode constituting a tuning condenser of a matching box toward the high frequency electrode is the high frequency electrode; placing a substrate on the susceptor electrode; applying high frequency electric power on the high frequency electrode and the susceptor electrode respectively; and forming a silicon oxide layer on the substrate by generating plasma with using a reaction gas of which main reaction gas is a mixing gas of monosilane and nitrous oxide.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: January 8, 2002
    Assignee: LG. Philips LCD Co., Ltd.
    Inventors: Kwang Nam Kim, Gee Sung Chae
  • Patent number: 6335238
    Abstract: This invention pertains generally to the integration of dielectrics with integrated circuits, and more particularly to reaction barriers between high-k dielectrics and an underlying Group IV semiconductor layer. Applications for high permittivity memory cells and gate dielectrics are disclosed. This method has steps of providing a partially completed integrated circuit having a semiconductor layer substantially comprising silicon, where the layer has an exposed face. The method also includes forming an ultra-thin SiC reaction barrier at the exposed face, and depositing a high permittivity storage dielectric on the SiC reaction barrier. Typically, the SiC reaction barrier is less then 25 Å thick, preferably one or two monolayers of SiC.
    Type: Grant
    Filed: May 5, 1998
    Date of Patent: January 1, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Sunil V. Hanttangady, Robert M. Wallace, Bruce E. Gnade, Yasutoshi Okuno
  • Patent number: 6319757
    Abstract: A SiC die with Os and/or W/WC/TiC contacts and metal conductors is encapsulated either alone or on a ceramic substrate using a borosilicate (BSG) glass that is formed at a temperature well below upper device operating temperature limits but serves as a stable protective layer above the operating temperature (over 1000° C., preferably >1200° C.). The glass is preferably 30-50% B2O3/70-50% SiO2, formed by reacting a mixed powder, slurry or paste of the components at 460°-1000° C. preferably about 700° C. The die can be mounted on the ceramic substrate using the BSG as an adhesive. Metal conductors on the ceramic substrate are also protected by the BSG. The preferred ceramic substrate is AlN but SiC/AlN or Al2 O3 can be used.
    Type: Grant
    Filed: July 6, 1999
    Date of Patent: November 20, 2001
    Assignee: Caldus Semiconductor, Inc.
    Inventors: James D. Parsons, B. Leo Kwak
  • Patent number: 6300226
    Abstract: A formed SiC product having a low degree of light transmittance useful in a variety of heat resistant components such as equalizing rings, dummy wafers, and other components employed in semiconductor manufacturing facilities, and the manufacturing method thereof. The product is a CVD-formed SiC product prepared by growing a coating on a substrate with a CVD process and thereafter removing the substrate. The product is characterized by having at least one SiC layer with different grain characteristics located either on its surface or within the main structure, and having a light transmittance rate of 0.4% or less for the wavelength range from 300 to 2,500 nm, and 2.5% or less for the wavelength range exceeding 2,500 nm. The method for manufacturing the formed SiC product is characterized by forming at least one SiC layer with different grain characteristics either on its surface or within the main structure provided by changing the CVD reaction conditions.
    Type: Grant
    Filed: February 3, 1999
    Date of Patent: October 9, 2001
    Assignees: Tokai Carbon Company, Ltd., Asahi Glass Company, Ltd.
    Inventors: Tsuguo Miyata, Akihiro Kuroyanagi
  • Patent number: 6297521
    Abstract: A substantially continuously graded composition silicon oxycarbide (SiOC) antireflective coating (ARC) or antireflective layer (ARL) is interposed between a photoresist layer and an underlying substrate. The ARC matches an optical impedance at the interface between the ARC and photoresist. The optical impedance decreases (absorptivity increases) substantially continuously, in the ARC in a direction away from the interface between the ARC and the photoresist. The ARC composition is graded from SiOC, at its interface with the photoresist, to SiC or Si, in a direction away from the photoresist. Reflections at the ARC-photoresist interface are substantially eliminated. Substantially all incident light, including ultraviolet (UV) and deep ultraviolet (DUV) light, is absorbed in the ARC. As a result, substantially no light reaches or is reflected from the underlying substrate. Photolithographic limitations such as swing effect and reflective notching are reduced.
    Type: Grant
    Filed: August 14, 1998
    Date of Patent: October 2, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6297100
    Abstract: In a vertical MOSFET, an inactive ion species is ion-implanted into a J-FET portion, a surface channel layer, and/or a base region. The inactive ion species fill intrinsic carbon vacancies or interact with interstitial Si atoms, which are possible origin or responsible for B-diffusion from the base region. Accordingly, the B-diffusion caused by the intrinsic carbon vacancies when the base region is formed is suppressed. The width of the J-FET portion is prevented from being decreased, thereby preventing an increase in resistance of the J-FET portion. Also, the conductive type of the surface channel layer is prevented from being inverted by diffused impurities.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: October 2, 2001
    Assignee: Denso Corporation
    Inventors: Rajesh Kumar, Masami Naito, Hiroki Nakamura, Yuichi Takeuchi
  • Patent number: 6287889
    Abstract: An improved gas phase synthesized diamond, CBN, BCN, or CN thin film having a modified region in which strain, defects, color and the like are reduced and/or eliminated. The thin film can be formed on a substrate or be a free-standing thin film from which the substrate has been removed. The thin film can be stably and reproducibly modified to have an oriented polycrystal structure or a single crystal structure. The thin film is modified by being subjected to and heated by microwave irradiation in a controlled atmosphere. The thin film has a modified region in which a line width of the diamond spectrum evaluated by Raman spectroscopy of 0.1 microns or greater is substantially constant along a film thickness direction of the thin film, and the line width of the modified region is 85% or less of a maximum line width of the residual portion of the film thickness.
    Type: Grant
    Filed: January 26, 2000
    Date of Patent: September 11, 2001
    Assignee: Applied Diamond, Inc.
    Inventors: Shoji Miyake, Shu-Ichi Takeda
  • Publication number: 20010011729
    Abstract: A method of fabricating a self-aligned bipolar junction transistor in a semiconductor structure having a first layer of silicon carbide generally having a first conductivity type and a second layer of silicon carbide generally having a second conductivity type, opposite to the first conductivity type. The method comprises forming a pillar in the second silicon carbide layer, the pillar having a side wall and defining an adjacent horizontal surface on the second layer, forming a dielectric layer having a predetermined thickness on the second semiconductor layer, including the side wall and the horizontal surface. After formation of the dielectric layer, the dielectric layer on a portion of the horizontal surface adjacent the side wall is anisotropically etched while at least a portion of the dielectric layer remains on the side wall, thereby exposing a portion of the horizontal surface.
    Type: Application
    Filed: February 19, 2001
    Publication date: August 9, 2001
    Inventors: Ranbir Singh, Anant K. Agarwal, Sei-Hyung Ryu
  • Patent number: 6268229
    Abstract: Integrated circuits, including field emission devices, have a resistor element of amorphous SixC1-x wherein 0<x<1, and wherein the SixC1-x incorporates at least one impurity selected from the group consisting of hydrogen, halogens, nitrogen, oxygen, sulphur, selenium, transition metals, boron, aluminum, phosphorus, gallium, arsenic, lithium, beryllium, sodium and magnesium.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: July 31, 2001
    Assignees: Advanced Technology Materials, Inc., Silicon Video Corporation
    Inventors: George R. Brandes, Charles P. Beetz, Xueping Xu, Swayambu V. Ramani, Ronald S. Besser
  • Publication number: 20010009167
    Abstract: A gallium nitride semiconductor layer is fabricated by masking an underlying gallium nitride layer with a first mask that includes a first array of openings therein and growing the underlying gallium nitride layer through the first array of openings and onto the first mask, to thereby form a first overgrown gallium nitride semiconductor layer. The first overgrown layer is then masked with the second mask that includes a second array of openings therein. The second array of openings is laterally offset from the first array of openings. The first overgrown gallium nitride layer is then grown through the second array of openings and onto the second mask, to thereby form a second overgrown gallium nitride semiconductor layer. Microelectronic devices may then be formed in the second overgrown gallium nitride semiconductor layer.
    Type: Application
    Filed: February 9, 2001
    Publication date: July 26, 2001
    Inventors: Robert F. Davis, Ok-Hyun Nam
  • Publication number: 20010009788
    Abstract: A dielectric structure is disclosed for silicon carbide-based semiconductor devices. In gated devices, the structure includes a layer of silicon carbide, a layer of silicon dioxide on the silicon carbide layer, a layer of another insulating material on the silicon dioxide layer, with the insulating material having a dielectric constant higher than the dielectric constant of silicon dioxide, and a gate contact to the insulating material. In other devices the dielectric structure forms an enhanced passivation layer or field insulator.
    Type: Application
    Filed: February 12, 2001
    Publication date: July 26, 2001
    Inventors: Lori A. Lipkin, John Williams Paimour
  • Patent number: 6265326
    Abstract: To increase the rate or speed of formation of a thermal oxide film of a silicon carbide semiconductor device, the partial pressure of water vapor is controlled to within the range of 0.1 to 0.95 when a surface of silicon carbide is oxidized under a mixed atmosphere of water vapor and oxygen. In a pyrogenic oxidation method in which hydrogen and oxygen are introduced to perform thermal oxidation, the ratio of the flow rate of hydrogen to that of oxygen is controlled to within the range of 1:0.55 to 1:9.5. In another pyrogenic oxidation method in which hydrogen and oxygen are introduced to perform thermal oxidation, a large portion of an oxide film is formed while the ratio of the flow rate of hydrogen to that of oxygen is controlled to about 1:4.5, and a remaining portion of the oxide film is then formed while the ratio of the flow rate of hydrogen to that of oxygen is controlled to about 1:0.55.
    Type: Grant
    Filed: July 2, 1998
    Date of Patent: July 24, 2001
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Katsunori Ueno
  • Patent number: 6232186
    Abstract: A power MOSFET suitable for use in RF applications and a method for making the same is disclosed. The power MOSFET reduces the gate coverage of the drain region of the device in order to decrease the device gate to drain capacitance Cgd. A significant portion of the gate overlaying the drain region is eliminated by the removal of a portion of a polysilicon layer that is disposed over a substantial portion of the drain region that resides between the channel portions of the body regions of the device. The resulting open area, that is subsequently covered by an oxide layer, separates the polysilicon gate electrodes of the device. Finally, a metal layer is deposited over the entire structure to form the gate and source electrodes of the device.
    Type: Grant
    Filed: March 23, 2000
    Date of Patent: May 15, 2001
    Assignee: STMicroelectronics, Inc.
    Inventor: Viren C. Patel
  • Patent number: 6211032
    Abstract: A method for forming a thin-film resistor, which is composed of silicon, carbon, and chromium, is disclosed. The resistivity of the thin-film resistor, and therefore the resistance and temperature coefficient of resistance (TCR) of the resistor, are tailored to have specific values by varying the elemental composition of the silicon, carbon, and chromium used to form the resistor.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: April 3, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Mark Redford, Yakub Aliyu, Chic McGregor, Rikki Boyle, Haydn Gregory
  • Patent number: 6204160
    Abstract: A method for making electrical contacts and junctions in silicon carbide that concurrently incorporates and activates dopants from a gaseous ambient. The low temperature processing of the present invention prevents the formation of crystalline defects during annealing and preserves the quantitative chemical properties of the silicon carbide. Improved activation of dopants incorporated in a silicon carbide sample is provided for making the electrical contacts and junctions.
    Type: Grant
    Filed: February 22, 1999
    Date of Patent: March 20, 2001
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Stephen D. Russell, Ayax D. Ramirez
  • Patent number: 6180495
    Abstract: A silicon carbide transistor (10) is formed from a silicon carbide film (14) that is formed on a silicon carbide substrate bulk (37). A conductor pattern layer (25) is formed on the silicon carbide film (14) and the silicon carbide film (14) removed from the silicon carbide substrate bulk (37) and attached to a substrate (11) of a dissimilar semiconductor material.
    Type: Grant
    Filed: April 3, 1998
    Date of Patent: January 30, 2001
    Assignee: Motorola, Inc.
    Inventors: Syd R. Wilson, Charles E. Weitzel, Mohit Bhatnagar, Karen E. Moore, Thomas A. Wetteroth
  • Patent number: 6165874
    Abstract: A method of growing atomically-flat surfaces and high quality low-defect crystal films of semiconductor materials and fabricating improved devices thereon. The method is also suitable for growing films heteroepitaxially on substrates that are different than the film. The method is particularly suited for growth of elemental semiconductors (such as Si), compounds of Groups III and V elements of the Periodic Table (such as GaN), and compounds and alloys of Group IV elements of the Periodic Table (such as SiC).
    Type: Grant
    Filed: December 16, 1998
    Date of Patent: December 26, 2000
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: J. Anthony Powell, David J. Larkin, Philip G. Neudeck, Lawrence G. Matus
  • Patent number: 6150671
    Abstract: A transistor of SiC having a drain and a highly doped substrate layer is formed on the drain. A highly n type buffer layer may optionally be formed on the substrate layer. A low doped n-type drift layer, a p-type base layer, a high doped n-type source region layer and a source are formed on the substrate layer. An insulating layer with a gate electrode is arranged on top of the base layer and extends substantially laterally from at least the source region layer to a n-type layer. When a voltage is applied to the gate electrode, a conducting inversion channel is formed extending substantially laterally in the base layer at an interface of the p-type base layer and the insulating layer. The p-type base layer is low doped in a region next to the interface to the insulating layer at which the inversion channel is formed and highly doped in a region thereunder next to the drift layer.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: November 21, 2000
    Assignee: ABB Research Ltd.
    Inventors: Christopher Harris, Ulf Gustafsson, Mietek Bakowski
  • Patent number: 6150670
    Abstract: A process for fabricating a gate oxide of a vertical transistor. In a first step, a trench is formed in a substrate, the trench extending from a top surface of the substrate and having a trench bottom and a trench side wall. The trench side wall comprises a <100> crystal plane and a <110> crystal plane. Next, a sacrificial layer having a uniform thickness is formed on the trench side wall. Following formation of the sacrificial layer, nitrogen ions are implanted through the sacrificial layer such that the nitrogen ions are implanted into the <110> crystal plane of the trench side wall, but not into the <100> crystal plane of the trench side wall. The sacrificial layer is then removed and the trench side wall is oxidized to form the gate oxide.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: November 21, 2000
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Johnathan E. Faltermeier, Ulrike Gruening, Suryanarayan G. Hegde, Rajarao Jammy, Brian S. Lee, Helmut H. Tews
  • Patent number: 6140148
    Abstract: The invention provides a method of making an ohmic contact to a n-type diamond or an injecting contact to a p-type diamond. The method includes the steps of implanting a surface of the diamond with a n-type dopant atom at a dose just below the amorphisation threshold of the diamond to create an implanted region below the surface and extending from the surface, annealing the implanted region to allow tunnelling of electrons into the diamond in the case of a n-type diamond and allow electrons to be injected into the diamond in the case of a p-type diamond, and metallising at least a portion of the surface through which implantation occurred.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: October 31, 2000
    Inventor: Johan Frans Prins
  • Patent number: 6133120
    Abstract: A p-type silicon carbide semiconductor having a high carrier concentration and activation rate is provided by doping boron as an acceptor impurity in a single crystal silicon carbide. The boron occupies silicon sites in a crystal lattice of the single crystal silicon carbide.
    Type: Grant
    Filed: August 28, 1996
    Date of Patent: October 17, 2000
    Assignee: Nippondenso Co., Ltd.
    Inventors: Takeshi Miyajima, Norihito Tokura, Atsuo Fukumoto, Hidemitsu Hayashi
  • Patent number: 6127695
    Abstract: A lateral field effect transistor of SiC for high switching frequencies comprises a source region layer (5) and a drain region layer (6) laterally spaced and highly doped n-type, an n-type channel layer (4) extending laterally and interconnecting the source region layer and the drain region layer for conducting a current between these layers in the on-state of the transistor, and a gate electrode (9) arranged to control the channel layer to be conducting or blocking through varying the potential applied to the gate electrode. A highly doped p-type base layer (12) is arranged next to the channel layer at least partially overlapping the gate electrode and being at a lateral distance to the drain region layer. The base layer is shorted to the source region layer.
    Type: Grant
    Filed: February 8, 1999
    Date of Patent: October 3, 2000
    Assignee: Acreo AB
    Inventors: Christopher Harris, Andrei Konstantinov