Silicon Carbide Semiconductor Patents (Class 438/931)
  • Patent number: 7226805
    Abstract: An epitaxial silicon carbide layer is fabricated by forming first features in a surface of a silicon carbide substrate having an off-axis orientation toward a crystallographic direction. The first features include at least one sidewall that is orientated nonparallel (i.e., oblique or perpendicular) to the crystallographic direction. A first epitaxial silicon carbide layer is then grown on the surface of the silicon carbide substrate that includes first features therein. Second features are then formed in the first epitaxial layer. The second features include at least one sidewall that is oriented nonparallel to the crystallographic direction. A second epitaxial silicon carbide layer is then grown on the surface of the first epitaxial silicon carbide layer that includes the second features therein.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 5, 2007
    Assignee: Cree, Inc.
    Inventors: Christer Hallin, Heinz Lendenmann, Joseph John Sumakeris
  • Patent number: 7189658
    Abstract: A method of processing a substrate including depositing a transition layer and a dielectric layer on a substrate in a processing chamber are provided. The transition layer is deposited from a processing gas including an organosilicon compound and an oxidizing gas. The flow rate of the organosilicon compound is ramped up during the deposition of the transition layer such that the transition layer has a carbon concentration gradient and an oxygen concentration gradient. The transition layer improves the adhesion of the dielectric layer to an underlying barrier layer on the substrate.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: March 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Deenesh Padhi, Ganesh Balasubramanian, Zhenjiang David Cui, Daemian Raj, Juan Carlos Rocha-Alvarez, Francimar Schmitt, Bok Hoen Kim
  • Patent number: 7189643
    Abstract: An SiC film, a porous silica film as an interlayer dielectric film, another SiC film, an SiO2 film, an SiN film, and an antireflection film are formed in this order on an interlayer dielectric film and Cu film. The antireflection film is coated with an organic photosensitive ArF resist, and the resist is exposed and developed to form a resist mask in which a wiring trench pattern is formed. A trench is then formed in the porous silica film, the latter SiC film, the SiO2 film, and the SiN film. Plasma processing using a hydrogen-containing gas is performed on the side surfaces of the porous silica film, thereby forming a modified layer. The exposed portion of the former SiC film is etched away to allow the trench to reach the Cu film.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: March 13, 2007
    Assignee: Fujitsu Limited
    Inventor: Yoshihisa Iba
  • Patent number: 7173284
    Abstract: A silicon carbide semiconductor device that includes J-FETs has a drift layer of epitaxially grown silicon carbide having a lower impurity concentration level than a substrate on which the drift layer is formed. Trenches are formed in the surface of the drift layer, and first gate areas are formed on inner walls of the trenches. Second gate areas are formed in isolation from the first gate areas. A source area is formed on channel areas, which are located between the first and second gate areas in the drift layer. A method of manufacturing the device ensures uniform channel layer quality, which allows the device to have a normally-off characteristic, small size, and a low likelihood of defects.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: February 6, 2007
    Assignee: Denso Corporation
    Inventors: Rajesh Kumar, Tsuyoshi Yamamoto, Hiroki Nakamura
  • Patent number: 7173285
    Abstract: Epitaxial silicon carbide layers are fabricated by forming features in a surface of a silicon carbide substrate having an off-axis orientation toward a crystallographic direction. The features include at least one sidewall that is orientated nonparallel (i.e., oblique or perpendicular) to the crystallographic direction. The epitaxial silicon carbide layer is then grown on the surface of the silicon carbide substrate that includes features therein.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: February 6, 2007
    Assignee: Cree, Inc.
    Inventors: Christer Hallin, Heinz Lendenmann
  • Patent number: 7166894
    Abstract: The present invention relates to a power junction device including a substrate of the SiCOI type with a layer of silicon carbide (16) insulated from a solid carrier (12) by a buried layer of insulant (14), and including at least one Schottky contact between a first metal layer (40) and the surface layer of silicon carbide (16), the first metal layer (30) constituting an anode.
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: January 23, 2007
    Assignee: Commissariat a l'Energie Atomique
    Inventors: François Templier, Thierry Billon, Nicolas Daval
  • Patent number: 7163882
    Abstract: A composite Pt/Ti/WSi/Ni Ohmic contact has been fabricated by a physical deposition process which uses electron beam evaporation and dc-sputter deposition. The Ni based composite Ohmic contact on n-Sic is rapid thermally annealed (RTA) at 950° C. to 1000° C. for 30 s to provide excellent current-voltage characteristics, an abrupt, void free contact-SiC interface, retention of the as-deposited contact layer width, smooth surface morphology and an absence of residual carbon within the contact layer and/or at the Ohmic contact-SiC interface. The annealed produced Ni2Si interfacial phase is responsible for the superior electrical integrity of the Ohmic contact to n-SiC. The effects of contact delamination due to stress associated with interfacial voiding has been eliminated. Wire bonding failure, non-uniform current flow and SiC polytype alteration due to extreme surface roughness have also been abolished.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: January 16, 2007
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventors: Melanie W. Cole, Pooran C. Joshi
  • Patent number: 7138291
    Abstract: A method is disclosed for treating a silicon carbide substrate for improved epitaxial deposition thereon and for use as a precursor in the manufacture of devices such as light emitting diodes. The method includes the steps of implanting dopant atoms of a first conductivity type into the first surface of a conductive silicon carbide wafer having the same conductivity type as the implanting ions at one or more predetermined dopant concentrations and implant energies to form a dopant profile, annealing the implanted wafer, and growing an epitaxial layer on the implanted first surface of the wafer.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: November 21, 2006
    Assignee: Cree, Inc.
    Inventors: Davis Andrew McClure, Alexander Suvorov, John Adam Edmond, David Beardsley Slater, Jr.
  • Patent number: 7135359
    Abstract: Large area silicon carbide devices, such as light-activated silicon carbide thyristors, having only two terminals are provided. The silicon carbide devices are selectively connected in parallel by a connecting plate. Silicon carbide thyristors are also provided having a portion of the gate region of the silicon carbide thyristors exposed so as to allow light of an energy greater than about 3.25 eV to activate the gate of the thyristor. The silicon carbide thyristors may be symmetric or asymmetrical. A plurality of the silicon carbide thyristors may be formed on a wafer, a portion of a wafer or multiple wafers. Bad cells may be determined and the good cells selectively connected by a connecting plate.
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: November 14, 2006
    Assignee: Cree, Inc.
    Inventors: Anant Agarwal, Sei-Hyung Ryu, John W. Palmour
  • Patent number: 7129129
    Abstract: A method of forming a trench in a semiconductor substrate includes a step of converting the cross section of the upper portion of the trench from octagonal to rectangular, so that sensitivity to alignment errors between the trench lithography and the active area lithography is reduced. Applications include a vertical transistor that becomes insensitive to misalignment between the trench and the litho for the active area, in particular a DRAM cell with a vertical transistor.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: October 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Thomas N. Adam, David C. Ahlgren, Kangguo Cheng, Ramachandra Divakaruni
  • Patent number: 7118970
    Abstract: MOS channel devices and methods of fabricating such devices having a hybrid channel are provided. Exemplary devices include vertical power MOSFETs that include a hybrid well region of silicon carbide and methods of fabricating such devices are provided. The hybrid well region may include an implanted p-type silicon carbide well portion in a p-type silicon carbide epitaxial layer, an implanted p-type silicon carbide contact portion that contacts the implanted p-type silicon carbide well portion and extends to a surface of the p-type epitaxial layer and/or an epitaxial p-type silicon carbide portion, at least a portion of the epitaxial p-type silicon carbide well portion corresponding to a p-type channel region of the MOSFET.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: October 10, 2006
    Assignee: Cree, Inc.
    Inventors: Mrinal Kanti Das, Sei-Hyung Ryu
  • Patent number: 7105875
    Abstract: A lateral power diodes with an optimal drift doping formed in widebandgap semiconductors like Silicon Carbide, Aluminum Nitride and Gallium Nitride and Diamond are provided with a voltage rating greater 200V. Contrary to conventional vertical design of power diodes, a higher, optimum doping for a given thickness is critical in supporting higher anode/cathode blocking voltage, and lower on-resistance than vertical drift region designs. The backside contact and the anode junction must be able to support the rated blocking voltage of the device.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: September 12, 2006
    Assignee: Wide bandgap, LLC
    Inventor: Ranbir Singh
  • Patent number: 7078329
    Abstract: An insulating film (2) is formed on a semiconductor substrate (1) formed of silicon carbide. A contact hole (3) is formed in the insulating film (2) to expose a part of the upper surface of the semiconductor substrate (1). Then, nickel (Ni) (4?) is formed above the semiconductor substrate (1). Subsequently, the semiconductor substrate (1) is subjected to a heat treatment, whereby the contact portion of nickel (4?) chemically bonds with the semiconductor substrate (1) to become an alloy layer (4) of silicon carbide and nickel. Nickel (4?) on the insulating film (2) is selectively removed by etching liquid for dissolving the nickel.
    Type: Grant
    Filed: April 15, 2004
    Date of Patent: July 18, 2006
    Assignee: Denso Corporation
    Inventors: Takeshi Endou, Yuuichi Takeuchi
  • Patent number: 7074643
    Abstract: Silicon carbide semiconductor devices and methods of fabricating silicon carbide semiconductor devices are provided by successively etching a mask layer to provide windows for formation of a source region or a first conductivity type, a buried silicon carbide region of a second conductivity type opposite to the first conductivity type and a second conductivity type well region in a first conductivity type silicon carbide layer. The source region and the buried silicon carbide region are formed utilizing a first window of the mask layer. Then, the well region is formed utilizing a second window of the mask layer, the second window being provided by a subsequent etch of the mask layer having the first window.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: July 11, 2006
    Assignee: Cree, Inc.
    Inventor: Sei-Hyung Ryu
  • Patent number: 7061021
    Abstract: This invention is directed to a system and method of fabricating PN and PiN diodes by diffusing an acceptor impurity into a substrate. This invention is particularly advantageous for fabricating SiC diodes having linearly graded, deep pn junctions. One method that this invention uses to achieve its advantages is by diffusing an acceptor impurity into a substrate using a crucible, acceptor source, substrate, and furnace.
    Type: Grant
    Filed: May 3, 2004
    Date of Patent: June 13, 2006
    Assignee: The University of South Carolina
    Inventors: Tangali S. Sudarshan, Stanislav Soloviev, Ying Gao
  • Patent number: 7060620
    Abstract: The invention concerns a method of preparing the surface of a semiconductor wafer intended for microelectronics and/or optoelectronics applications. In particular, a method of preparing a SiC surface of a semiconductor wafer to make it epiready is described. The technique includes annealing the wafer in an oxidizing atmosphere, and polishing a surface of the wafer with an abrasive based on particles of colloidal silica to make the SiC wafer surface suitable for homoepitaxy or heteroepitaxy.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: June 13, 2006
    Assignee: S.O.I.Tec Silicon on Insulator Technologies S.A.
    Inventor: Claire Richtarch
  • Patent number: 7052932
    Abstract: A method of forming a dual damascene structure with improved performance is described. A first etch stop layer comprised of oxygen doped SiC is deposited on a SiC barrier layer to form a composite barrier/etch stop layer on a substrate. The remainder of the damascene stack is formed by sequentially depositing a first dielectric layer, a second oxygen doped SiC etch stop layer, and a second dielectric layer. A via and overlying trench are formed and filled with a diffusion barrier layer and a metal layer. The oxygen doped SiC layers have a lower dielectric constant than SiC or SIGN and a higher breakdown field than SiC. The etch selectivity of a C4F8/Ar etch for a SiCOH layer relative to the oxygen doped SiC layer is at least 6:1 because of a lower oxygen content in the oxygen doped SiC layer.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: May 30, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono, Koh Yee Wee
  • Patent number: 7033950
    Abstract: A graded junction termination extension in a silicon carbide (SiC) semiconductor device and method of its fabrication using ion implementation techniques is provided for high power devices. The properties of silicon carbide (SiC) make this wide band gap semiconductor a promising material for high power devices. This potential is demonstrated in various devices such as p-n diodes, Schottky diodes, bipolar junction transistors, thyristors, etc. These devices require adequate and affordable termination techniques to reduce leakage current and increase breakdown voltage in order to maximize power handling capabilities. The graded junction termination extension disclosed is effective, self-aligned, and simplifies the implementation process.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: April 25, 2006
    Assignee: Auburn University
    Inventors: J. Neil Merrett, Tamara Isaacs-Smith, David C. Sheridan, John R. Williams
  • Patent number: 7022545
    Abstract: The present invention has its object to obtain an SiC monitor wafer which can flatten the surface until particle detection is possible. SiC of a crystal system 3C is deposited on a substrate by a CVD (Chemical Vapor Deposition) method, and the SiC is detached from a substrate. After the SiC surface is flattened by using mechanical polishing alone or in combination with CMP (Chemo Mechanical Polishing), GCIB (Gas Cluster Ion Beam) is irradiated to the surface until the surface roughness becomes Ra=0.5 nm or less and the impurity density of the wafer surface becomes 1*1011 atoms/cm2 or less to produce the SiC monitor wafer.
    Type: Grant
    Filed: January 10, 2003
    Date of Patent: April 4, 2006
    Assignee: Mitsui Engineering & Shipbuilding Co., Ltd.
    Inventors: Isao Yamada, Jiro Matsuo, Noriaki Toyoda, Kazutoshi Murata, Naomasa Miyatake
  • Patent number: 7018554
    Abstract: A method is disclosed for preparing a substrate and epilayer for reducing stacking fault nucleation and reducing forward voltage (Vf) drift in silicon carbide-based bipolar devices. The method includes the steps of etching the surface of a silicon carbide substrate with a nonselective etch to remove both surface and sub-surface damage, thereafter etching the same surface with a selective etch to thereby develop etch-generated structures from at least any basal plane dislocation reaching the substrate surface that will thereafter tend to either terminate or propagate as threading defects during subsequent epilayer growth on the substrate surface, and thereafter growing a first epitaxial layer of silicon carbide on the twice-etched surface.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: March 28, 2006
    Assignee: Cree, Inc.
    Inventor: Joseph John Sumakeris
  • Patent number: 7015142
    Abstract: In a method of making graphite devices, a preselected crystal face of a crystal is annealed to create a thin-film graphitic layer disposed against selected face. A preselected pattern is generated on the thin-film graphitic layer. A functional structure includes a crystalline substrate having a preselected crystal face. A thin-film graphitic layer is disposed on the preselected crystal face. The thin-film graphitic layer is patterned so as to define at least one functional structure.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: March 21, 2006
    Assignee: Georgia Tech Research Corporation
    Inventors: Walt A. DeHeer, Claire Berger, Phillip N. First
  • Patent number: 7009209
    Abstract: A silicon carbide semi-insulating epitaxy layer is used to create power devices and integrated circuits having significant performance advantages over conventional devices. A silicon carbide semi-insulating layer is formed on a substrate, such as a conducting substrate, and one or more semiconducting devices are formed on the silicon carbide semi-insulating layer. The silicon carbide semi-insulating layer, which includes, for example, 4H or 6H silicon carbide, is formed using a compensating material, the compensating material being selected depending on preferred characteristics for the semi-insulating layer. The compensating material includes, for example, boron, vanadium, chromium, or germanium. Use of a silicon carbide semi-insulating layer provides insulating advantages and improved thermal performance for high power and high frequency semiconductor applications.
    Type: Grant
    Filed: January 3, 2002
    Date of Patent: March 7, 2006
    Assignee: Mississippi State University Research and Technology Corporation (RTC)
    Inventors: Jeffrey B. Casady, Michael Mazzola
  • Patent number: 7008886
    Abstract: A process treats a surface of a semiconductor material in order to put the surface into a predetermined electrical state. The semiconductor material is preferably monocrystalline. The process includes (a) preparing the surface of the semiconductor material such that the surface has a controlled organization at an atomic scale such that the surface is capable of combining with a chosen material, and (b) combining the surface thus prepared with a material chosen from among hydrogen, molecules containing hydrogen, metals, organic molecules and inorganic molecules, wherein the preparing and the combining the surface with the material cooperate to obtain the predetermined electrical state of the surface.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: March 7, 2006
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Vincent Derycke, Patrick Soukiassan
  • Patent number: 7005678
    Abstract: A silicon carbide semiconductor device includes: a semiconductor substrate including a base substrate, a first semiconductor layer, a second semiconductor layer and a third semiconductor layer, which are laminated in this order; a cell portion disposed in the semiconductor substrate and providing an electric part forming portion; and a periphery portion surrounding the cell portion. The periphery portion includes a trench, which penetrates the second and the third semiconductor layers, reaches the first semiconductor layer, and surrounds the cell portion so that the second and the third semiconductor layers are divided by the trench substantially. The periphery portion further includes a fourth semiconductor layer disposed on an inner wall of the trench.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: February 28, 2006
    Assignee: Denso Corporation
    Inventors: Rajesh Kumar, Andrei Mihaila, Florin Udrea
  • Patent number: 6998300
    Abstract: A multiple layered wafer structure having, on a semiconductor substrate, a first dielectric layer, a single crystal semiconductor layer formed on the dielectric layer, a semiconductor nano-crystal layer formed on the single crystal semiconductor layer, and a second dielectric layer formed on the semiconductor nano-crystal layer. A laser is irradiated from the side of the second dielectric layer, to thereby separate the second dielectric layer from the others of the multiple layered wafer structure.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: February 14, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Teruo Takizawa
  • Patent number: 6974766
    Abstract: The present invention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs. The dielectric layer can be deposited with different precursors as the SiC material, but preferably with the same or similar precursors as the SiC material. The present invention is particularly useful for ICs using high diffusion copper as a conductive material. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features.
    Type: Grant
    Filed: March 16, 1999
    Date of Patent: December 13, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Judy H. Huang
  • Patent number: 6964917
    Abstract: A method is disclosed for producing highly uniform semi-insulating characteristics in single crystal silicon carbide for semiconductor applications. The method includes irradiating a silicon carbide single crystal having net p-type doping and deep levels with neutrons until the concentration of 31P equals or exceeds the original net p-type doping while remaining equal to or less than the sum of the concentration of deep levels and the original net p-type doping.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: November 15, 2005
    Assignee: Cree, Inc.
    Inventors: Valeri F. Tsvetkov, Hudson M. Hobgood, Calvin H. Carter, Jr., Jason R. Jenny
  • Patent number: 6964880
    Abstract: A method of forming a strained silicon device and structures formed thereby is described. That method comprises forming a polysilicon layer on a first and second side of a substantially planar diamond coated silicon wafer, wherein the second side of the substantially planar diamond coated silicon wafer comprises defects, bonding a silicon device layer to a first side of the polysilicon layer, and removing the defects from the second side of the substantially planar diamond coated silicon wafer, wherein a tensile strain in the silicon device layer is induced that increases the electron mobility of the strained silicon device layer.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: November 15, 2005
    Assignee: Intel Corporation
    Inventor: Kramadhati V. Ravi
  • Patent number: 6955978
    Abstract: A semiconductor device can comprise a contact material in substantially continuous contact with a contact region. In an embodiment the contact region may comprise an alloy comprising a wide band-gap material and a low melting point contact material. A wide band-gap material may comprise silicon carbide and a low melting point contact material may comprise aluminum. In another embodiment a substantially uniform ohmic contact may be formed between a contact material and a semiconductor material by annealing the contact at a temperature less than the melting point of the contact material. In an embodiment, the contact may be annealed for more than five hours.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: October 18, 2005
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Richard L. Woodin, William F. Seng
  • Patent number: 6951826
    Abstract: The present invention generally provides a process for depositing silicon carbide using a silane-based material with certain process parameters that is useful for forming a suitable ARC for IC applications. Under certain process parameters, a fixed thickness of the silicon carbide may be used on a variety of thicknesses of underlying layers. The thickness of the silicon carbide ARC is substantially independent of the thickness of the underlying layer for a given reflectivity, in contrast to the typical need for adjustments in the ARC thickness for each underlying layer thickness to obtain a given reflectivity. Another aspect of the invention includes a substrate having a silicon carbide anti-reflective coating, comprising a dielectric layer deposited on the substrate and a silicon carbide anti-reflective coating having a dielectric constant of less than about 7.0 and preferably about 6.0 or less.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: October 4, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Bencher, Joe Feng, Mei-Yee Shek, Chris Ngai, Judy Huang
  • Patent number: 6943127
    Abstract: A low dielectric constant film having silicon-carbon bonds and dielectric constant of about 3.0 or less, preferably about 2.5 or less, is provided. The low dielectric constant film is deposited by reacting a cyclic organosilicon compound and an aliphatic organosilicon compound with an oxidizing gas while applying RF power. The carbon content of the deposited film is between about 10 and about 30 atomic percent excluding hydrogen atoms, and is preferably between about 10 and about 20 atomic percent excluding hydrogen atoms.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: September 13, 2005
    Assignee: Applied Materials Inc.
    Inventors: Seon-Mee Cho, Peter Wai-Man Lee, Chi-I Lang, Dian Sugiarto, Chen-An Chen, Li-Qun Xia, Shankar Venkataraman, Ellie Yieh
  • Patent number: 6939756
    Abstract: A method for manufacturing a silicon carbide semiconductor device. In one embodiment, the method includes the following steps: a layer of silicon dioxide is formed on a silicon carbide substrate to create a silicon dioxide/silicon carbide interface and then nitrogen is incorporated at the silicon dioxide/silicon carbide interface for reduction in an interface trap density. The silicon carbide substrate, in one embodiment, includes a n-type 4H-silicon carbide.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: September 6, 2005
    Assignees: Vanderbilt University, Auburn University
    Inventors: Gilyong Chung, Chin Che Tin, John R. Williams, Kyle McDonald, Massimiliano Di Ventra, Robert A. Weller, Sokrates T. Pantelides, Leonard C. Feldman
  • Patent number: 6940123
    Abstract: In a matrix-shaped configuration of memory transistors, word lines are disposed on a top side of a semiconductor body and are parallel to one another. Bit lines run transversely with respect thereto and are formed by polysilicon strips which are applied on the top side and are isolated from the semiconductor body by barrier layers functioning as diffusion barriers.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: September 6, 2005
    Assignee: Infineon Technologies AG
    Inventor: Christoph Ludwig
  • Patent number: 6936849
    Abstract: A field-effect transistor (FET) device and method of fabrication uses an electrically interconnected polycrystalline or microcrystalline silicon carbide (SiC) gate having a lower electron affinity and higher work function than a polysilicon gate FET. The smaller threshold voltage magnitude of the SiC gate FET allows reduced power supply voltages (lowering power consumption and facilitating downward scaling of transistor dimensions), and enables higher switching speeds and improved performance. The smaller threshold voltage magnitudes are obtained without ion-implantation, which is particularly useful for SOI and thin film transistor devices. Threshold voltage magnitudes are stable in spite of subsequent thermal processing steps. N-channel threshold voltages are optimized for enhancement mode.
    Type: Grant
    Filed: July 29, 1997
    Date of Patent: August 30, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6897133
    Abstract: The invention concerns a method for making a vertical Schottky diode on a highly doped N-type silicon carbide substrate (1), comprising steps which consist in forming an N-type lightly doped epitaxial layer (2); etching out a peripheral trench at the active zone of the diode; forming a type P doped epitaxial layer; carrying out a planarization process so that a ring (6) of the P type epitaxial layer remains in the trench; forming an insulating layer (3) on the outer periphery of the component, said insulating layer partly covering said ring; and depositing a metal (4) capable of forming a Schottky barrier with the N type epitaxial layer.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: May 24, 2005
    Assignee: STMicroelectronics S.A.
    Inventor: Emmanuel Collard
  • Patent number: 6849504
    Abstract: A method for fabricating a flash memory is described. A stacked gate structure and a source/drain are formed on a substrate. An inter-layer dielectrics and a plurality of inter-metal dielectric layers are then formed over the substrate, wherein at least one layer among the inter-layer dielectrics and the inter-metal dielectric layers has a silicon carbide layer formed thereon. The silicon carbide layer is formed to protect the memory device from an UV irradiation, so as to prevent data errors occurring in the memory device.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: February 1, 2005
    Assignee: MACRONIX International Co., Ltd
    Inventors: Ping-Yi Chang, Pei-Ren Jeng
  • Patent number: 6841456
    Abstract: A method for fabricating thin films of an icosahedral boride on a silicon carbide (SiC) substrate is provided. Preferably the icosahedral boride layer is comprised of either boron phosphide (B12P2) or boron arsenide (B12As2). The provided method achieves improved film crystallinity and lowered impurity concentrations. In one aspect, an epitaxially grown layer of B12P2 with a base layer or substrate of SiC is provided. In another aspect, an epitaxially grown layer of B12As2 with a base layer or substrate of SiC is provided. In yet another aspect, thin films of B12P2 or B12As2 are formed on SiC using CVD or other vapor deposition means. If CVD techniques are employed, preferably the deposition temperature is above 1050° C., more preferably in the range of 1100° C. to 1400° C., and still more preferably approximately 1150° C.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: January 11, 2005
    Inventors: Stephen D. Hersee, Ronghua Wang, David Zubia, Terrance L. Aselage, David Emin
  • Patent number: 6841866
    Abstract: A power semiconductor device includes a portion and an external package enclosing the portion. The portion includes a ceramic board sides provided on the ceramic board defining a space filled with a thermal insulator, and a silicon carbide power semiconductor element enclosed within the thermal insulator. The external package is made of a material having a thermal conductivity lower than that of the side.
    Type: Grant
    Filed: January 10, 2003
    Date of Patent: January 11, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kiyoshi Arai, Nobuhisa Honda
  • Patent number: 6835638
    Abstract: A field-effect transistor (FET) device and method of fabrication uses an electrically interconnected polycrystalline or microcrystalline silicon carbide (SiC) gate having a lower electron affinity and higher work function than a polysilicon gate FET. The smaller threshold voltage magnitude of the SiC gate FET allows reduced power supply voltages (lowering power consumption and facilitating downward scaling of transistor dimensions), and enables higher switching speeds and improved performance. The smaller threshold voltage magnitudes are obtained without ion-implantation, which is particularly useful for SOI and thin film transistor devices. Threshold voltage magnitudes are stable in spite of subsequent thermal processing steps. N-channel threshold voltages are optimized for enhancement mode.
    Type: Grant
    Filed: March 1, 1999
    Date of Patent: December 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Publication number: 20040245527
    Abstract: Disclosed is a terminal for an organic material, which comprises a carbon nanotube to be in contact with an organic material having a 6-membered carbon ring, and a metal that is in contact with a part of the carbon nanotube. The carbon nanotube remarkably improves an electric conductivity between the organic material and the metal.
    Type: Application
    Filed: March 25, 2004
    Publication date: December 9, 2004
    Inventors: Kazuhito Tsukagoshi, Iwao Yagi, Yoshinobu Aoyagi
  • Publication number: 20040232426
    Abstract: A nanotube array and a method for producing a nanotube array. The nanotube array has a substrate, a catalyst layer, which includes one or more subregions, on the surface of the substrate and at least one nanotube arranged on the surface of the catalyst layer, parallel to the surface of the substrate. The at least one nanotube being arranged parallel to the surface of the substrate results in a planar arrangement of at least one nanotube. Therefore, the nanotube array of the invention is suitable for coupling to conventional silicon microelectronics. Therefore, according to the invention it is possible for a nanotube array to be electronically coupled to macroscopic semiconductor electronics. Furthermore, the nanotube array according to the invention may have an electrically insulating layer between the substrate and the catalyst layer.
    Type: Application
    Filed: May 14, 2004
    Publication date: November 25, 2004
    Inventors: Andrew Graham, Franz Hofmann, Johannes Kretz, Franz Kreupl, Richard Luyken, Wolfgang Rosner
  • Publication number: 20040227138
    Abstract: Novel uses of diamondoid-containing materials in the field of microelectronics are disclosed. Embodiments include, but are not limited to, thermally conductive films in integrated circuit packaging, low-k dielectric layers in integrated circuit multilevel interconnects, thermally conductive adhesive films, thermally conductive films in thermoelectric cooling devices, passivation films for integrated circuit devices (ICs), and field emission cathodes. The diamondoids employed in the present invention may be selected from lower diamondoids, as well as the newly provided higher diamondoids, including substituted and unsubstituted diamondoids. The higher diamondoids include tetramantane, pentamantane, hexamantane, heptamantane, octamantane, nonamantane, decamantane, and undecamantane.
    Type: Application
    Filed: February 24, 2004
    Publication date: November 18, 2004
    Applicant: Chevron U.S.A. Inc.
    Inventors: Jeremy E. Dahl, Robert M. Carlson, Shenggao Liu
  • Patent number: 6815323
    Abstract: Ohmic contact formation inclusive of Carbon films on 4H and 6H n-type Silicon Carbide is disclosed. Contact formation includes an initial RF sputtering to produce an amorphous Carbon film with the sp2/sp3 Carbon ratio of about 1.0 measured by X-ray photoelectron spectroscopy. This Carbon film gradually evolves from sp3 to sp2 structures of high sp2 content during an annealing at temperatures ranging from 600° C. to 1350° C. depending on the substrate doping levels, between 1016 and 1019, employed. Formation of sp2 Carbon is accelerated by the presence of metal and gaseous catalytic agents including for example nickel and argon. The sp2 Carbon structures consist especially of nano-size graphitic flakes and also of amorphous aromatic-like Carbon structures, and polyene-like Carbon structures, as are revealed by Raman spectroscopy. Ohmic contact is achieved when a sufficient amount of nano-graphitic flakes are formed at the selected annealing temperature.
    Type: Grant
    Filed: January 10, 2003
    Date of Patent: November 9, 2004
    Assignee: The United States of America as represented by the Secretary of the Air Force
    Inventors: Weijie Lu, William C. Mitchel, Warren E. Collins
  • Patent number: 6815351
    Abstract: A semiconductor configuration with an ohmic contact-connection includes a p-conducting semiconductor region made of silicon carbide. A p-type contact region serves for the contact-connection. The p-type contact region is composed of a material containing at least nickel and aluminum. A substantially uniform material composition is present in the entire p-type contact region. A method for contact-connecting p-conducting silicon carbide with a material containing at least nickel and aluminum is also provided. The two components nickel and aluminum are applied simultaneously on the p-conducting semiconductor region.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: November 9, 2004
    Assignee: SiCED Electronics Development GmbH & Co. KG
    Inventors: Peter Friedrichs, Dethard Peters, Reinhold Schörner
  • Patent number: 6812167
    Abstract: This invention provides a method to improve the adhesion between dielectric material layers at the interface thereof, during the manufacture of a semiconductor device. The first step is to form a SiC-based dielectric material layer over a substrate. The SiC-based dielectric material layer is treated by oxygen plasma. A second layer of dielectric material is formed over the SiC-based dielectric material layer.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: November 2, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yu-Huei Chen, Lain-Jong Li
  • Publication number: 20040214453
    Abstract: An insulating film (2) is formed on a semiconductor substrate (1) formed of silicon carbide. A contact hole (3) is formed in the insulating film (2) to expose a part of the upper surface of the semiconductor substrate (1). Then, nickel (Ni) (4′) is formed above the semiconductor substrate (1). Subsequently, the semiconductor substrate (1) is subjected to a heat treatment, whereby the contact portion of nickel (4′) chemically bonds with the semiconductor substrate (1) to become an alloy layer (4) of silicon carbide and nickel. Nickel (4′) on the insulating film (2) is selectively removed by etching liquid for dissolving the nickel.
    Type: Application
    Filed: April 15, 2004
    Publication date: October 28, 2004
    Inventors: Takeshi Endou, Yuuichi Takeuchi
  • Patent number: 6803326
    Abstract: An integrated circuit includes at least one porous silicon oxycarbide (SiOC) insulator, which provides good mechanical strength and a low dielectric constant (e.g., &egr;R<2) for minimizing parasitic capacitance. The insulator provides IC isolation, such as between circuit elements, between interconnection lines, between circuit elements and interconnection lines, or as a passivation layer overlying both circuit elements and interconnection lines. The low dielectric constant silicon oxycarbide isolation insulator of the present invention reduces the parasitic capacitance between circuit nodes. As a result, the silicon oxycarbide isolation insulator advantageously provides reduced noise and signal crosstalk between circuit nodes, reduced power consumption, faster circuit operation, and minimizes the risk of potential timing faults.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: October 12, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20040198070
    Abstract: A method is provided for processing a substrate including providing a processing gas comprising an organosilicon compound comprising a phenyl group to the processing chamber, and reacting the processing gas to deposit a low k silicon carbide barrier layer useful as a barrier layer in damascene or dual damascene applications with low k dielectric materials.
    Type: Application
    Filed: April 20, 2004
    Publication date: October 7, 2004
    Inventors: Li-Qun Xia, Ping Xu, Louis Yang
  • Patent number: 6797652
    Abstract: The electromigration resistance of Cu lines is significantly improved by depositing a low-k capping layer thereon, e.g., a silicon carbide capping layer having a dielectric constant of about 4.5 to about 5.5. Embodiments include sequentially treating the exposed planarized surface of inlaid Cu with a plasma containing NH3 diluted with N2, discontinuing the plasma and flow of NH3 and N2, pumping out the chamber; introducing trimethylsilane, NH3 and He, initiating PECVD to deposit the silicon carbide capping layer, as at a thickness of about 200 Å to about 800 Å. Embodiments also include Cu dual damascene structures formed in dielectric material having a dielectric constant (k) less than about 3.9.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: September 28, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh van Ngo, Jeremy I. Martin, Hartmut Ruelke
  • Patent number: RE38727
    Abstract: A photoelectric conversion device has a non-single-crystal semiconductor laminate member formed on a substrate having a conductive surface, and a conductive layer formed on the non-single-crystal semiconductor laminate member. The non-single-crystal semiconductor laminate member has such a structure that a first non-single-crystal semiconductor layer having a P or N first conductivity type, an I-type second non-single-crystal semiconductor layer and a third non-single-crystal semiconductor layer having a second conductivity type opposite the first conductivity type are laminated in this order. The first (or third) non-single-crystal semiconductor layer is disposed on the side on which light is incident, and is P-type. The I-type non-single-crystal semiconductor layer has introduced thereinto a P-type impurity, such as boron which is distributed so that its concentration decreases towards the third (or first) non-single-crystal semiconductor layer in the thickwise direction of the I-type layer.
    Type: Grant
    Filed: October 8, 1997
    Date of Patent: April 19, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki