Testing Of Logic Operation, E.g., By Logic Analyzers, Etc. (epo) Patents (Class 714/E11.155)
  • Publication number: 20120124438
    Abstract: The present disclosure describes a novel method and apparatus of using the JTAG TAP's TMS and TCK terminals as a general purpose serial Input/Output (I/O) bus. According to the present disclosure, the TAP's TMS terminal is used as a clock signal and the TCK terminal is used as a bidirectional data signal to allow serial communication to occur between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC.
    Type: Application
    Filed: January 24, 2012
    Publication date: May 17, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120124433
    Abstract: A feedback scan isolation and bypass architecture apparatus and method. The apparatus includes core logic, and input and output multiplexers. The input multiplexer selectively provides a functional input or the core output to the core input based on a test signal. The output multiplexer selectively provides the core output or the input multiplexer output to a functional output based on the test signal. When the test signal indicates core feedback testing, the output multiplexer outputs the core output and the input multiplexer feeds back the core output to the core input. When the test signal indicates bypass testing, the input multiplexer outputs the functional input and the output multiplexer outputs the functional input bypassing the core logic. Logic can block the feedback or bypass signals when there are timing issues. Logic can modify the number of feedback or bypass signals when the number of functional inputs and outputs are different.
    Type: Application
    Filed: November 11, 2010
    Publication date: May 17, 2012
    Applicant: QUALCOMM INCORPORATED
    Inventors: Paul F. Policke, Hong S. Kim, Paul Douglas Bassett
  • Publication number: 20120124435
    Abstract: Methods, systems, and apparatuses are presented that remove BIST intrusion logic from critical timing paths of a microcircuit design without significant impact on testing. In one embodiment, BIST data is multiplexed with scan test data and serially clocked in through scan test cells for BIST testing. In another embodiment, BIST data is injected into the feedback path of one or more data latches. In a third embodiment, BIST data is injected into the result data path of a multi-cycle ALU within an execution unit. In each embodiment, BIST circuitry is eliminated from critical timing paths.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 17, 2012
    Inventors: Craig D. Eaton, Ganesh Venkataramanan, Srikanth Arekapudi
  • Publication number: 20120117432
    Abstract: Provided is a test apparatus that tests a memory under test, comprising a logic comparing section that compares output data output from the memory under test to expected value data, for each address of the memory under test, and outputs fail data when the output data does not match the expected value data; a fail analysis memory section that stores the fail data in association with the addresses of the memory under test; and a masking section that counts the pieces of fail data output from the logic comparing section and, when the count value exceeds a preset upper limit fail value, masks the fail data supplied from the logic comparing section to the fail analysis memory section.
    Type: Application
    Filed: September 27, 2011
    Publication date: May 10, 2012
    Applicant: ADVANTEST CORPORATION
    Inventor: Kenichi FUJISAKI
  • Publication number: 20120117435
    Abstract: The disclosure describes novel methods and apparatuses for accessing test compression architectures (TCA) in a device using either a parallel or serial access technique. The serial access technique may be controlled by a device tester or by a JTAG controller. Further the disclosure provides an approach to access the TCA of a device when the device exists in a daisy-chain arrangement with other devices, such as in a customer's system. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: January 5, 2012
    Publication date: May 10, 2012
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20120117434
    Abstract: The peripheral circuitry (350, 360, ESD, BH) of an integrated circuit die on a wafer is tested without physically contacting the bond pads of the die.
    Type: Application
    Filed: January 18, 2012
    Publication date: May 10, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120110402
    Abstract: A method and apparatus for testing a scan-based 3D integrated circuit (3DIC) using time-division demultiplexing/multiplexing allowing for high-data-rate scan patterns applied at input/output pads converting into low-data-rate scan patterns applied to each embeddded module in the 3DIC. A set of 3D design guidelines is proposed to reduce the number of test times and the number of through-silicon vias (TSVs) required for both pre-bond testing and post-bond testing. The technique allows reuse of scan patterns developed for pre-bond testing of each die (layer) for post-bond testing of the whole 3DIC. It further reduces test application time without concerns for I/O pad count limit and risks for fault coverage loss.
    Type: Application
    Filed: August 31, 2011
    Publication date: May 3, 2012
    Applicant: Syntest Technologies, Inc.
    Inventors: Laung-Terng Wang, Nur Touba, Michael S. Hsiao, Shianling Wu, Zhigang Jiang
  • Publication number: 20120102375
    Abstract: This disclosure describes different ways to improve the operation of a device's 1149.1 TAP to where the TAP can perform at-speed Update & Capture, Shift & Capture and Back to Back Capture & Shift operations. In a first embodiment of the disclosure the at-speed operations are achieved by time division multiplexing CMD signals onto the TMS input to the TAP. The CMD signals are input to a CMD circuit that operates in conjunction with a Dual Port Router to execute the at-speed operations of a circuit. In a second embodiment of the disclosure the at-speed operations are achieved by detecting the TAP's Exit1DR state as a CMD signal that is input to the CMD circuit that operates in conjunction with a Dual Port Router to execute the at-speed operations of a circuit.
    Type: Application
    Filed: July 21, 2011
    Publication date: April 26, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120096325
    Abstract: Test Access Ports (TAPs) may be utilized at both IC and intellectual property core design levels. TAPs serve as serial communication ports for accessing a variety of embedded circuitry within ICs and cores including; IEEE 1149.1 boundary scan circuitry, built in test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation circuitry, and IEEE P1532 in-system programming circuitry. Selectable access to TAPs within ICs is desirable since in many instances being able to access only the desired TAP(s) leads to improvements in the way testing, emulation, and programming may be performed within an IC. A TAP linking module is described that allows TAPs embedded within an IC to be selectively accessed using 1149.1 instruction scan operations.
    Type: Application
    Filed: December 19, 2011
    Publication date: April 19, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Lee D. Whetsel, Baher S. Haroun, Brian J. Lasher, Anjali Kinra
  • Publication number: 20120084612
    Abstract: A test vector decode circuit includes a lockout circuit to prevent inadvertent latching of output vectors. The test vector decode circuit is driven by an additional output vector from the test vector decode circuit. The additional output vector, as well as the other output vectors, undergo at least one latching. A signal transmitted by the additional output vector as a result of the final latching activates the lockout circuit. The test vector decode circuit also receives a supervoltage signal. Only by turning off the supervoltage signal can all of the output test vectors be reset, including the additional output vector.
    Type: Application
    Filed: December 13, 2011
    Publication date: April 5, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: James E. Miller, JR.
  • Publication number: 20120084613
    Abstract: The disclosure describes a novel method and apparatus for allowing response data output from the scan outputs of a circuit under test to be formatted and applied as stimulus data input to the scan inputs of the circuit under test. Also the disclosure described a novel method and apparatus for allowing the response data output from the scan outputs of a circuit under test to be formatted and used as expected data to compare against the response data output from the circuit under test. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: December 14, 2011
    Publication date: April 5, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120084614
    Abstract: A method implemented to test a plurality of components coupled in a star configuration, each component having a test access port (TAP) controller. The method comprises performing a capture phase of a scan operation on all of the TAP controllers in the star configuration and sequentially selecting one of the TAP controllers at a time to perform a shift state. When all of the TAP controllers have been sequentially selected to perform the shift phase, the method further comprises selecting all of the TAP controllers to perform an update phase.
    Type: Application
    Filed: December 7, 2011
    Publication date: April 5, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary Swoboda
  • Publication number: 20120079332
    Abstract: A device to secure a JTAG type bus in its “scan chain” component chaining mode functionality, when several components are connected in series on the JTAG bus, includes a first interface for receiving JTAG signals and a second interface for the JTAG signals originating from a chain of components. The device includes the following modules: a JTAG frame generator module for verifying the continuity of operation of said Bus and components; a module for monitoring the electrical activity of said Bus and components; an alarm module for sending back an alarm detected by the above modules; an alarm module for managing the operating mode of the device; and a security functions activation module AFS.
    Type: Application
    Filed: March 25, 2011
    Publication date: March 29, 2012
    Applicant: THALES
    Inventors: Anthony DOUMENJOU, Steeve LEMAHIEU, Gaël MACE, Olivier TEYSSIER
  • Publication number: 20120079334
    Abstract: A scannable latch circuit is disclosed. In one embodiment, the scannable latch circuit includes a master latch, a slave latch, and a gating circuit coupled between the master latch and the slave latch. The slave latch may be implemented to support scan-shifting for test operations. Scan data received by the master latch may be provided to the slave latch through the gating circuit. The gating circuit may enable data to be transferred from the master latch to the slave latch when a scan enable signal is asserted. When the scan enable signal is deasserted, the gating circuit may cause the slave latch to output a constant (i.e. unchanging) state, regardless of the state of data stored in the master latch. This may result in power savings by inhibiting the slave latch from making state changes when scan-shifting operations are not in progress.
    Type: Application
    Filed: September 28, 2010
    Publication date: March 29, 2012
    Inventors: Honkai Tam, Bo Tang
  • Publication number: 20120072797
    Abstract: Clock control circuitry for an integrated circuit, a method of testing an integrated circuit having a clock gate, an integrated circuit and a library of cells including the clock control circuitry are provided. In one embodiment, the integrated circuit includes: (1) a clock gate configured to apply a clock signal to at least a first scan chain of the integrated circuit, (2) combinational logic coupled to an input of the clock gate and (3) Design-for-Test logic located external to the combinational logic and coupled to the clock gate and a first cell of a second scan chain of the integrated circuit, the Design-for-Test logic configured to control operation of the clock gate based on a logic value of the first cell.
    Type: Application
    Filed: September 17, 2010
    Publication date: March 22, 2012
    Applicant: LSI Corporation
    Inventor: Narendra B. Devta-Prasanna
  • Publication number: 20120060067
    Abstract: In an apparatus including a joint test action group (JTAG) authentication device, and a JTAG authentication method using the apparatus, the apparatus includes a joint test action group (JTAG) authentication device, the apparatus comprising a JTAG access circuit that determines whether to access a JTAG-compliant device according to a predetermined protocol that governs the JTAG-compliant device and the apparatus, wherein the JTAG access circuit at least one of inactivates at least one of inner bus lines and inner units and activates the at least one of the inner bus lines and the inner units according to whether the JTAG-compliant device is accessed.
    Type: Application
    Filed: November 8, 2011
    Publication date: March 8, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yun-ho Youm, Mi-jung Noh, Hong-mook Choi, Xingguang Feng
  • Publication number: 20120060068
    Abstract: A method comprises a system comprising a host device coupled to a first remote device actively operating according to a state diagram that the host device and all remote devices follow during operation of the system. The method further comprises powering up a second remote device while the host device and first remote device are actively operating according to the state diagram. The second remote device waits for a synchronization point sequence. Upon detecting the synchronization point sequence, the second remote device implements a predetermined feature set and synchronizes itself to the state diagram at a common point as the host device and first remote device.
    Type: Application
    Filed: November 9, 2011
    Publication date: March 8, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary Swoboda
  • Publication number: 20120054568
    Abstract: A method and apparatus for conducting transition testing using scan elements are disclosed. In one embodiment, an integrated circuit (IC) includes a scan chain having first and second subsets of scannable flops, the first subset having respective data inputs coupled to a memory array. The scannable flops of the second subset may each have a respective data input coupled to circuitry other than the memory array (e.g., to a logic circuit). The scannable flops of the first subset may be enabled for scan shifting during a transition test mode. The scannable flops of the second subset are inhibited from scanning during the transition test mode. The transition test mode may include at least two functional clock cycles in which the scannable flops of the first subset provide complementary first and second logic values to logic circuits coupled to respective data outputs.
    Type: Application
    Filed: August 24, 2010
    Publication date: March 1, 2012
    Inventors: Mark T. Kuo, Michael Howard, Daniel C. Murray
  • Publication number: 20120054567
    Abstract: A test system includes a supervisor unit coupled to a control interface, the control interface coupled to first and second test modules. Each test module may include a first logic module to test macro blocking errors; a second logic module to perform optical character recognition; a third logic module to perform signal to noise ratio measurement; and a fourth logic module to perform random noise measurement. Each test module coupled to a device under test.
    Type: Application
    Filed: August 30, 2010
    Publication date: March 1, 2012
    Applicant: Contec LLC
    Inventors: Vladzimir Valakh, Vicente Miranda, Darby Racey
  • Publication number: 20120047413
    Abstract: In an embodiment of the invention, variable test clock circuitry is provided within an integrated circuit desired to be tested. The variable test clock frequency implements a test clock control register that receives serial test data from a device tester and is configured to serially pass the received test data to scan test chains within the integrated circuit. The test clock control register stores test clock information. The test clock information is provided to a test clock generator where the test clock generator then produces test clock signals at a predetermined frequency. The test clock signal is then provided as a test clock frequency for the scan test chains within the integrated circuit. Methods are also disclosed for operating the variable test clock frequency.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 23, 2012
    Applicant: Eigenix
    Inventor: Sung Soo Chung
  • Publication number: 20120047412
    Abstract: In an embodiment of the invention, variable test clock circuitry is provided within an integrated circuit desired to be tested. The variable test clock frequency implements a test clock control register that receives serial test data from a device tester and is configured to serially pass the received test data to scan test chains within the integrated circuit. The test clock control register stores test clock information. The test clock information is provided to a test clock generator where the test clock generator then produces test clock signals at a predetermined frequency. The test clock signal is then provided as a test clock frequency for the scan test chains within the integrated circuit. Methods are also disclosed for operating the variable test clock frequency.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 23, 2012
    Applicant: Eigenix
    Inventor: Sung Soo Chung
  • Publication number: 20120036407
    Abstract: Functional circuits and cores of circuits are tested on integrated circuits using scan paths. Using parallel scan distributor and collector circuits for these scan paths improves test access of circuits and cores embedded within ICs and reduces the IC's power consumption during scan testing. A controller for the distributor and collector circuits includes a test control register, a test control state machine and a multiplexer. These test circuits can be connected in a hierarchy or in parallel. A conventional test access port or TAP can be modified to work with the disclosed test circuits.
    Type: Application
    Filed: October 13, 2011
    Publication date: February 9, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120036406
    Abstract: The disclosure describes a novel method and apparatuses for allowing a controller to select and access different types of access ports in a device. The selecting and accessing of the access ports is achieved using only the dedicated TDI, TMS, TCK, and TDO signal terminals of the device. The selecting and accessing of device access ports can be achieved when a single device is connected to the controller, when multiple devices are placed in a daisy-chain arrangement and connected to the controller, or when multiple devices are placed in a addressable parallel arrangement and connected to the controller. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: October 13, 2011
    Publication date: February 9, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120030533
    Abstract: A method and circuit are provided for implementing switching factor reduction in Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides. Switching factor reduction logic is coupled to a Pseudo-Random Pattern Generator (PRPG) providing channel input patterns to a plurality of LBIST channels used for the LBIST diagnostics. The switching factor reduction logic selectively provides controlled channel input patterns for each of the plurality of channels.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Steven Michael Douskey, Ryan Andrew Fitch, Michael John Hamilton, Amanda Renee Kaufer
  • Publication number: 20120023381
    Abstract: An IC includes an IEEE 1149.1 standard test access port (TAP) interface and an additional Off-Chip TAP interface. The Off-Chip TAP interface connects to the TAP of another IC. The Off Chip TAP interface can be selected by a TAP Linking Module on the IC.
    Type: Application
    Filed: September 27, 2011
    Publication date: January 26, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120023372
    Abstract: An estimation method applies to evaluating a system reliability of a cloud computing network, and the steps thereof includes: providing a network model which sends data through at least two path between a cloud and a client; inputting a demand, a time constraint and a maintenance budget into the network model; providing plural capacity vectors corresponding to different states of the flow of the cloud computing network; selecting a first set of vectors from the capacity vectors for satisfying the demand and the time constraint; deleting the capacity vectors which do not meet the maintenance budget from the first set of vectors to form a second set of vectors; and computing an upper boundary of the system reliability based on the first set of vectors and an lower boundary of the system reliability based on the second set of vectors.
    Type: Application
    Filed: November 19, 2010
    Publication date: January 26, 2012
    Applicant: National Taiwan University of Science and Technology
    Inventors: Yi-Kuei Lin, Ping-Chen Chang
  • Publication number: 20120017128
    Abstract: A system comprises a test framework architecture for tree sequence testing of a device, comprising a plurality of hierarchical layers at least comprising an upmost layer and a lowest layer, each layer of the plurality of hierarchical layers comprising at least one of a plurality of test sequences, each test sequence comprising a plurality of test steps, each test step comprising a current layer information; a test action information for carrying out a test action on the device; and a recovery information for carrying out a recovery action on reception of a recovery call from a next lower layer.
    Type: Application
    Filed: March 31, 2009
    Publication date: January 19, 2012
    Applicant: Freescale Semicondutor
    Inventor: Cristian Tepus
  • Publication number: 20120017130
    Abstract: An integrated circuit is configured to receive a test clock input and includes circuitry configured to generate test clocks from the test clock input, and test circuitry configured to use the test clocks in a test mode.
    Type: Application
    Filed: August 30, 2010
    Publication date: January 19, 2012
    Applicant: STMICROELECTRONICS Pvt. Ltd.
    Inventors: Anirudha KULKARNI, Jasvir Singh
  • Publication number: 20120017129
    Abstract: A process and apparatus provide a JTAG TAP controller (302) to access a JTAG TAP domain (106) of a device using a reduced pin count, high speed DDR interface (202). The access is accomplished by combining the separate TDI and TMS signals from the TAP controller into a single signal and communicating the TDI and TMS signals of the single signal on the rising and falling edges of the TCK driving the DDR interface. The TAP domain may be coupled to the TAP controller in a point to point fashion or in an addressable bus fashion. The access to the TAP domain may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 19, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120011412
    Abstract: The disclosure describes a novel method and apparatus for making device TAPs addressable to allow device TAPs to be accessed in a parallel arrangement without the need for having a unique TMS signal for each device TAP in the arrangement. According to the disclosure, device TAPs are addressed by inputting an address on the TDI input of devices on the falling edge of TCK. An address circuit within the device is associated with the device's TAP and responds to the address input to either enable or disable access of the device's TAP.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120011411
    Abstract: An integrated circuit is described that includes a stored program processor for test and debug of user-definable logic plus external interface between the test/debug circuits and the component pins. The external interface may be via an existing test interface or a separate serial or parallel port. Test and debug circuits may contain scan strings that may be used to observe states in user-definable logic or be used to provide pseudo-random bit sequences to user-definable logic. Test and debug circuits may also contain an on-chip logic analyzer for capturing sequences of logic states in user-definable circuits. Test and debug circuits may be designed to observe states in user-definable circuits during the normal system operation of said user-definable circuits.
    Type: Application
    Filed: February 14, 2011
    Publication date: January 12, 2012
    Applicant: INTELLECTUAL VENTURES I LLC
    Inventors: Bulent Dervisoglu, Laurence H. Cooke, Vacit Arat
  • Publication number: 20120005546
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Application
    Filed: September 16, 2011
    Publication date: January 5, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20120005547
    Abstract: A prototype debugging system controlled by a host processor over a host bus includes: (a) a vector processor interface bus; (b) one or more programmable logic circuits, at least one of which provided to implement: (i) a logic circuit under verification; (ii) one or more programmable embedded debug circuits each receiving a first group of selected signals from the logic circuit under verification and providing control signals for (1) selecting a portion of the first group of selected signals, or (2) affecting the values of a second group of selected signals in the logic circuit under verification based on a portion of the first group of selected signals satisfying a predetermined triggering condition, wherein the programmable embedded debug circuits each including a built-in memory for storing signal vectors, the programmable embedded debug circuits each being configured according to a trigger specification defining one or more trigger states and triggering conditions; and (iii) a local debugging controller th
    Type: Application
    Filed: June 30, 2010
    Publication date: January 5, 2012
    Inventors: Chioumin M. Chang, Thomas B. Huang, Huan-Chih Tsai, Ting-Mao Chang
  • Publication number: 20120005545
    Abstract: A computer-readable, non-transitory medium stores a program that causes a computer to execute detecting in a circuit-under-test, a change in a signal output from each circuit element on a transmission-side, during one clock cycle on a reception-side at an asynchronous location; inputting to each circuit element on the reception-side, a signal for which a change is not detected at a detection time among detection times when a signal change is detected at the detecting and replacing with a random logic value, a signal for which a change has been detected at a detection time among the detection times and inputting the random logic value to each circuit element on the reception-side, in an action triggered by a rising edge of an operation clock on the reception-side after the one clock cycle; and outputting for each circuit element on the reception-side, an operation result obtained based on input at the inputting.
    Type: Application
    Filed: April 25, 2011
    Publication date: January 5, 2012
    Applicant: Fujitsu Limited
    Inventor: Hiroaki Iwashita
  • Publication number: 20110320896
    Abstract: An integrated circuit device includes first and second latches (e.g, D-type flip flops) responsive to a clock signal. Each of the first and second latches respectively includes a data input terminal, a scan input terminal, a scan enable terminal and an output terminal. A combinational logic circuit may be provided, which is configured to receive the signal from the output terminal of the first latch and configured to generate a signal at the data input terminal of the second latch. A scan path is also provided, which is responsive to a scan enable signal. The scan path is configured to selectively pass a signal from the output terminal of the first latch to the scan input terminal of the second latch when the scan enable signal is active. A power saving switch is also provided. This switch, which is responsive to the scan enable signal, includes a first current carrying terminal electrically coupled to the scan path.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 29, 2011
    Inventors: Seok-Il Kwon, Hoijin Lee
  • Publication number: 20110320894
    Abstract: A semiconductor die includes interface logic for performing a function on an external device, and a surrogate circuit in communication with the interface logic. The interface logic facilitates testing of the interface logic by attempting to perform the function on the surrogate circuit. The interface logic may be a memory interface, and the surrogate circuit may be a memory circuit that is a smaller and simpler replica of an external memory die. The surrogate circuit allows the interface logic to be tested before the semiconductor die is physically coupled to the external device, for exampled in a three dimensional (3D) integrated circuit (IC).
    Type: Application
    Filed: June 28, 2010
    Publication date: December 29, 2011
    Applicant: QUALCOMM Incorporated
    Inventors: Christopher Kong Yee Chun, Anand Srinivasan
  • Publication number: 20110320895
    Abstract: The present invention relates to a checker circuit for a handshake protocol. The checker circuit detects common errors that occur when two communication unit on execute the handshake protocol. The checker circuit is characterized by a compact circuit design that is associated with reduced susceptibility to circuit errors and a significantly reduced spatial requirement. The invention also relates to a method for checking the execution of the handshake protocol.
    Type: Application
    Filed: January 18, 2010
    Publication date: December 29, 2011
    Inventor: Steffen Zeidler
  • Publication number: 20110320898
    Abstract: An integrated circuit chip includes a mainline function logic path communicatively connected to a first input/output (I/O) pin, a test logic path communicatively connected to the first I/O pin, a latch disposed between the communicative connection between the test logic function path and the first I/O pin, a second I/O pin communicatively connected to the latch, the second I/O pin operative to send a signal operative to change a state of the latch.
    Type: Application
    Filed: June 24, 2010
    Publication date: December 29, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ulrich Baur, Lawrence D. Curley, Ronald J. Frishmuth, Ralf Ludewig, Ching L. Tong, Tobias Webel
  • Publication number: 20110320893
    Abstract: An apparatus for debugging internal signals of integrated circuits is presented. In one embodiment, the apparatus comprises a number of vector registers associated with states of a state machine. A group of registers, associated with a state of the state machine, comprises a mask register an arm register. A comparator compares debug data with contents of the mask register and the arm register to determine a comparison result to be stored in one or more bit positions of the vector register. The apparatus further comprises a triggering logic unit to determine whether or not to trigger a fire event based on the vector registers.
    Type: Application
    Filed: June 24, 2010
    Publication date: December 29, 2011
    Inventors: Tsvika Kurts, Daniel Skaba, Michael Israeli, Itai Samoelov, Julius Mandelblat
  • Publication number: 20110314348
    Abstract: Testing of integrated circuits is achieved by a test architecture utilizing a scan frame input shift register, a scan frame output shift register, a test controller, and a test interface comprising a scan input, a scan clock, a test enable, and a scan output. Scan frames input to the scan frame input shift register contain a test stimulus data section and a test command section. Scan frames output from the scan frame output shift register contain a test response data section and, optionally, a section for outputting other data. The command section of the input scan frame controls the test architecture to execute a desired test operation.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 22, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110314514
    Abstract: A scan chain security capability is provided herein. The scan chain security capability enables secure control over normal use of a scan chain of a system, e.g., for purposes such as testing prior to deployment or sale of the system, in-field testing after deployment or sale of the system, in-field modification of the system, and the like. The scan chain security capability enables secure control over normal use of a scan chain by enabling control over interruption of a scan chain and re-establishment of an interrupted scan chain. A scan chain security component is configured for removing an open-circuit condition from the scan chain in response to a control signal. The control signal may be generated in response to validation of a security key, in response to successful completion of a challenge-based authentication process, or in response to any other suitable validation or authentication.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 22, 2011
    Inventors: Suresh Goyal, Michele Portolan, Bradford Van Treuren
  • Publication number: 20110307752
    Abstract: A bridging fault which has occurred between clock signal lines in a semiconductor device can be easily detected. A semiconductor device having a plurality of hold circuits and configured such that a scan test can be performed includes a first and a second clock signal lines supplied with normal operational clock signals having at least either frequencies or phases different from each other during normal operation, and a test clock signal controller which switches, during a test, between a state in which a first test clock signal, which is the same as that supplied to the first clock signal line, is supplied to the second clock signal line, and a state in which a second test clock signal, which is inverted or phase-shifted relative to the first test clock signal, is supplied to the second clock signal line.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 15, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Naohiro Fujil, Kinya Daio, Shinichi Yoshimura
  • Publication number: 20110307749
    Abstract: A boundary scan circuit comprising a freeze circuit and a transparency circuit provides a capability to selectively place portions of a system logic in a sleep mode and thereby conserving power. There are two transparency circuit configurations, one that connects to an input pad cell and one that connects to an output pad cell. The circuitry in the transparency circuit is controlled in such a manner as to establish at the output of transparency circuit a known logic state to control leakage current resulting from the circuitry of the various pad cell configurations, which further conserves power during sleep mode.
    Type: Application
    Filed: June 10, 2010
    Publication date: December 15, 2011
    Inventor: Min-Hsiu Tsai
  • Publication number: 20110307748
    Abstract: Techniques for designing and storing test input and output data vectors to diagnose bit errors in a testing sequence. In an aspect, test input vectors may be chosen such that the corresponding correct output vectors form codewords of a forward error-correcting code. In another aspect, the correct test output vectors may be compressed to reduce the memory requirements of the testing system. In yet another aspect, test input vectors may be sorted such that the test output vectors are monotonically increasing or decreasing in sequence, and corresponding delta's between output vectors in the sequence may be stored to reduce the memory requirements. Further aspects provide for storing information relating to the correct output vectors in various efficient formats, including storing base value-referenced offsets, and storing relative operations and output vector segments to allow derivation of correct output vectors from memory when required.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Applicant: QUALCOMM INCORPORATED
    Inventor: Michael Laisne
  • Publication number: 20110307751
    Abstract: Profiling-based scan chain diagnosis techniques are disclosed. With various implementations of the invention, unloading masking information for each of scan patterns is first determined. A tester then applies the scan patterns to a circuit under test and collects test response data according to the unloading masking information. A profiling-based analysis is performed to determine failing scan cell information based on the test response data.
    Type: Application
    Filed: June 13, 2011
    Publication date: December 15, 2011
    Inventors: Wu-Tung Cheng, Yu Huang
  • Publication number: 20110296263
    Abstract: A test controller applies test stimulus signals to the input pads of plural die on a wafer in parallel. The test controller also applies encoded test response signals to the output pads of the plural die in parallel. The encoded test response signals are decoded on the die and compared to core test response signals produced from applying the test stimulus signals to core circuits on the die. The comparison produces pass/fail signals that are loaded in to scan cells of an IEEE 1149.1 scan path. The pass/fail signals then may be scanned out of the die to determine the results of the test.
    Type: Application
    Filed: August 4, 2011
    Publication date: December 1, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110296266
    Abstract: A method for adjusting timing of multiple cores within an integrated circuit includes selecting a reference core and a target core from among a plurality of cores of an integrated circuit. Self-test circuitry of the integrated circuit is used to generate a response signature for each of the reference core and the target core. The response signature of the reference core is compared with the response signature of the target core. A local clock buffer of the target core is adjusted until the response signature of the target core matches the response signature of the reference core.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 1, 2011
    Applicant: International Business Machines Corporation
    Inventors: Peilin Song, Franco Stellari
  • Publication number: 20110296265
    Abstract: A system for scan testing various clock domains of an integrated circuit includes a clock gate control unit and clock gating cells. The clock gating cells receive a single test clock signal provided externally through one package pin of the integrated circuit. The clock gate control unit provides clock gate control signals to the clock gating cells. The clock gating cells generate time-staggered clock signals based on the clock gate control signals.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: Shruti RAKHEJA, Sunny Arora, Pawan Deep Gandhi, Rashmi Moudgil
  • Publication number: 20110296264
    Abstract: In an embodiment, a design methodology and tools to implement the methodology are used to perform scan insertion in an integrated circuit design. The physical location of the scan chains within the boundaries of the integrated circuit may be determined, and the methodology may use the physical information to perform the scan insertion. For example, the physical information may include the location of the inputs and outputs of the scan chains, as well as routability data indicating the ability to insert interconnect in the integrated circuit to make the desired scan connections. The location and routability information may be used to group scan chain inputs and outputs for, e.g., compression/decompression logic. Using physical data to insert scan compression/decompression logic may reduce the amount of area occupied by the scan logic and connectivity, in some embodiments.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 1, 2011
    Inventors: Amit Chandra, Muthukumaravelu Velayoudame, Mandeep Singh, Michael Mar
  • Publication number: 20110296262
    Abstract: A scan driver includes a first decoder generating a plurality of output signals through a plurality of first logic gates, and a second decoder including a plurality of first logic circuits connected to a first terminal of a plurality of scan lines and a plurality of second logic circuits connected to a second terminal of the plurality of scan lines. The plurality of first logic circuits supply a source current to a corresponding scan line according to the corresponding output signal among the plurality of output signals. The plurality of second logic circuits sinks a sink current to the corresponding scan line according to the corresponding output signal among the plurality of output signals.
    Type: Application
    Filed: February 4, 2011
    Publication date: December 1, 2011
    Inventors: Do-Ik Kim, Wang-Jo Lee