Layout Generation (polygon, Pattern Feature) Patents (Class 716/55)
  • Patent number: 8336008
    Abstract: Mechanisms are provided for characterizing long range variability in integrated circuit manufacturing. A model derivation component tests one or more density pattern samples, which are a fabricated integrated circuits having predetermined pattern densities and careful placement of current-voltage (I-V) sensors. The model derivation component generates one or more empirical models to establish range of influence of long range variability effects in the density pattern sample. A variability analysis component receives an integrated circuit design and, using the one or more empirical models, analyzes the integrated circuit design to isolate possible long range variability effects in the integrated circuit design.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: December 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: James A. Culp, Jerry D. Hayes, Ying Liu, Anthony D. Polson
  • Patent number: 8336005
    Abstract: A pattern dimension calculation method according to one embodiment calculates a taper shape of a mask member used as a mask when a circuit pattern is processed in an upper layer of the circuit pattern formed on a substrate. The method calculates an opening angle facing the mask member from a shape prediction position on the circuit pattern on the basis of the taper shape. The method calculates a dimension of the circuit pattern according to the opening angle formed at the shape prediction position.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: December 18, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takafumi Taguchi, Toshiya Kotani, Hiromitsu Mashita, Fumiharu Nakajima, Ryota Aburada, Chikaaki Kodama
  • Patent number: 8336002
    Abstract: An integrated circuit (IC) design method includes providing IC design layout data; simulating a chemical mechanical polishing (CMP) process to a material layer based on the IC design layout, to generate various geometrical parameters; extracting resistance and capacitance based on the various geometrical parameters from the simulating of the CMP process; and performing circuit timing analysis based on the extracted resistance and capacitance.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 18, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gwan Sin Chang, Yi-Kan Cheng, Ivy Chiu, Ke-Ying Su
  • Patent number: 8336006
    Abstract: According to one embodiment, a design layout highly likely to be a dangerous point in a lithography process is set, a coherence map kernel for generating the mask layout is set with respect to the set design layout, the coherence map is created based on the set coherence map kernel and the set design layout, the auxiliary pattern is extracted from the created coherence map and shaped to generate the mask layout, a cost function COST for evaluating an optimization degree of the mask layout is defined, the generated mask layout is evaluated using the cost function, and at least one of parameters of the coherence map kernel and parameters in extracting and shaping the auxiliary pattern from the coherence map are changed until the mask layout evaluated using the cost function is optimized.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: December 18, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuyoshi Kodera, Chikaaki Kodama
  • Publication number: 20120311511
    Abstract: A mask inspection method according to the embodiments, original data corresponding to a semiconductor integrated circuit pattern to be formed on a substrate is created. After that, original production simulation which mocks an original production process is performed on the original data to derive information relating to an original pattern shape in the case of forming an original pattern corresponding to the original data on an original. After that, whether or not the information relating to an original pattern shape satisfies a predetermined value decided based on the original production process is determined.
    Type: Application
    Filed: February 17, 2012
    Publication date: December 6, 2012
    Inventors: Takafumi TAGUCHI, Toshiya Kotani, Chikaaki Kodama, Fumiharu Nakajima
  • Patent number: 8327298
    Abstract: Evaluating error sources associated with a mask involves: (i) receiving data representative of multiple images of the mask that were obtained at different exposure conditions; (ii) calculating, for multiple sub-frames of each image of the mask, values of a function of intensities of pixels of each sub-frame to provide multiple calculated values; and (iii) detecting error sources in response to calculated values and in response to sensitivities of the function to each error source.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: December 4, 2012
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Lev Faivishevsky, Sergey Khristo, Amir Moshe Sagiv, Shmuel Mangan
  • Patent number: 8327301
    Abstract: In a method of designing a double patterning mask set, a chip is first divided into a grid that includes grid cells. A metal layer of the chip is laid out. In substantially each of the grid cells, all left-boundary patterns of the metal layer are assigned with a first indicator, and all right-boundary patterns of the metal layer are assigned with a second indicator. Starting from one of the grid cells in a row, indicator changes are propagated throughout the row. All patterns in the grid cells are transferred to the double patterning mask set. All patterns assigned with the first indicator are transferred to a first mask of the double patterning mask set, and all patterns assigned with the second indicator transferred to a second mask of the double patterning mask set.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: December 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Kan Cheng, Lee-Chung Lu, Ru-Gun Liu, Chih-Ming Lai
  • Publication number: 20120304134
    Abstract: An exposure data generation method includes generating a first multi-layer wiring pattern including a plurality of wiring layers according to a netlist and a wiring rule; dividing a layer pattern of each layer included in the generated first multi-layer wiring pattern by a subfield; by referring to a pattern database in which a subfield pattern of a wiring layer, included in a second multi-layer wiring pattern generated in the subfield according to the wiring rule, and a pattern identifier corresponding to the subfield pattern are registered, extracting the pattern identifier of the subfield pattern corresponding to the divided layer pattern of the first multi-layer wiring pattern; and generating exposure data including the extracted pattern identifier and an exposure position of the subfield pattern corresponding to the extracted pattern identifier.
    Type: Application
    Filed: March 22, 2012
    Publication date: November 29, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Shinji SUGATANI, Takashi MARUYAMA
  • Patent number: 8321818
    Abstract: Mechanism are provided for model-based retargeting of photolithographic layouts. An optical proximity correction is performed on a set of target patterns for a predetermined number of iterations until a counter value exceeds a maximum predetermined number of iterations in order to produce a set of optical proximity correction mask shapes. A set of lithographic contours is generated for each of the set of optical proximity correction mask shapes in response to the counter value exceeding the maximum predetermined number of iterations. A normalized image log slope (NILS) extraction is performed on the set of target shapes and use the set of lithographic contours to produce NILS values. The set of target patterns is modified based on the NILS values in response to the NILS values failing to be within a predetermined limit. The steps are repeated until the NILS values are within the predetermined limit.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: November 27, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kanak B. Agarwal, Shayak Banerjee, Sani R. Nassif
  • Patent number: 8321819
    Abstract: In an electronic design automation technique for optical proximity correction, a mask is represented by a function with an exact analytical form over a mask region. Using the physics of optical projection, a solution based on a spatial frequency analysis is determined. Spatial frequencies above a cutoff are determined by the optical system do not contribute to the projected image. Spatial frequencies below this cutoff affect the print (and the mask), while those above the cutoff only affect the mask. Frequency components in the function below this cutoff frequency may be removed, which will help to reduce computational complexity.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: November 27, 2012
    Assignee: Gauda, Inc.
    Inventors: P. Jeffrey Ungar, Ilhami H. Torunoglu
  • Patent number: 8321822
    Abstract: A method optical proximity correction includes the following steps. First, a layout of an integrated circuit with an exposure intensity specification is provided. The integrated circuit includes a plurality of patterns and each pattern has an exposure intensity distribution. Second, a quadratic polynomial equation of each exposure intensity distribution is approximated. Third, a local extreme intensity of each exposure intensity distribution is computed by fitting the quadratic polynomial equation. Fourth, the local extreme intensity is determined whether violating the exposure intensity specification or not. Then, the layout is corrected when the local extreme intensity violates the exposure intensity specification.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: November 27, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Shiang Yang, Ming-Jui Chen, Te-Hung Wu
  • Patent number: 8321816
    Abstract: A method of determining an exposure condition and a mask pattern includes: setting the exposure condition and the mask pattern; temporarily determining the mask pattern using a first evaluation function describing indices of quality of an image of the mask pattern, using the set exposure condition; calculating a value of a second evaluation function describing indices of quality of the image of the mask pattern, using the temporarily determined mask pattern and the set exposure condition; changing the exposure condition and the mask pattern based on the value of the calculated second evaluation function; and judging whether to execute a process of repeating the temporarily determining step and the calculating step. In the judging step, the mask pattern temporarily determined in the latest second step, and the exposure condition changed in the latest fourth step are determined as the mask pattern and the exposure condition, respectively.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: November 27, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tadashi Arai
  • Patent number: 8321821
    Abstract: A method for designing a two-dimensional array overlay target comprises the steps of: selecting a plurality of two dimensional array overlay targets having different overlay errors; calculating a deviation of a simulated diffraction spectrum for each two-dimensional array overlay target; selecting an error-independent overlay target by taking the deviations of the simulated diffraction spectra into consideration; and designing a two dimensional array overlay target based on structural parameters of the error-independent overlay target.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 27, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Yi Sha Ku, Hsiu Lan Pang, Wei Te Hsu, Deh Ming Shyu
  • Publication number: 20120295187
    Abstract: A method for generating dummy patterns includes providing a layout region having a layout pattern with a first density, inserting a plurality of first dummy patterns with a second density corresponding to the first density in the layout pattern, dividing the layout region into a plurality of sub-regions with a third density, adjusting a size of the first dummy pattern according to a difference between the second density and the third density, and outputting the layout pattern and the first dummy patterns on a photomask.
    Type: Application
    Filed: May 20, 2011
    Publication date: November 22, 2012
    Inventors: Chen-Hua Tsai, Jian-Cheng Chen, Chin-Yueh Tsai, Yao-Jen Fan, Heng-Kun Chen, Hsiang Yang
  • Patent number: 8316327
    Abstract: Systems and methods of optical proximity correction are disclosed. A preferred embodiment comprises a method of determining optical proximity correction, which includes providing a design for a lithography mask. The design comprises a layout for a material layer of a semiconductor device. A predicted wafer image producible by the design for the lithography mask is calculated, and an amount of error between a target image and the calculated predicted wafer image is measured over a plurality of pixels of the predicted wafer image. The plurality of pixels comprises a plurality of different sizes.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: November 20, 2012
    Assignee: Infineon Technologies AG
    Inventor: Klaus Herold
  • Patent number: 8316326
    Abstract: In accordance with some embodiments, a method is provided for creating a photolithographic component, comprising: determining a target pattern for a circuit layout, the target pattern comprising target features; identifying a set of periodic target features within the target pattern; calculating a relationship between feature and pitch for the set of periodic target features; and determining a mask pattern from the target pattern using the relationship, wherein the mask pattern has a set of periodic mask features configured to result in projection of a first subset of the set of periodic target features when exposed to a light source that induces a first phase effect, and configured to result in projection of a second subset of the set of periodic target features when exposed to a light source that induces a second phase effect. In further embodiments, the method outputs the mask pattern as a mask dataset.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8316329
    Abstract: Double patterning is achieved with a single reticle while maintaining the integrity of in-scribe patterns and without blading the reticle. In-scribe structures may or may not be double patterning. For example, elements such as electrical test structures might have features that are so closely spaced that double pattering is desired. However, elements such as optical alignment marks might not require double patterning. For those elements for which double patterning is not desired, a first sub-array of the reticle has a pattern for the element, whereas the corresponding location in a second sub-array has a blank. By the corresponding location, it is meant the location on the reticle that would be exposed to the same target region to which the element would be exposed if the reticle were used for double patterning. Thus, the blank prevents target region from being exposed more than once.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rodney Rigby, James Frisby, Aaron Parr, Yasuhisa Yamamoto
  • Patent number: 8316328
    Abstract: A method for manufacturing a photomask based on design data includes the steps of forming a figure element group including a figure element in a layout pattern on the photomask and a figure element affecting the figure element due to the optical proximity effect, adding identical identification data to a data group indicating an identical figure element group, estimating an influence of the optical proximity effect on the figure element group, generating correction data indicating a corrected figure element in which the influence of the optical proximity effect is compensated for at the time of exposure, creating figure data by associating data having the identical identification data with correction data having the identical identification data, and forming a mask pattern on the photomask using figure data. Thus, the computation time for correction of the layout can be reduced, thereby reducing the production time of the photomask.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: November 20, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiroki Futatsuya, Kazumasa Morishita
  • Patent number: 8316336
    Abstract: Disclosed are methods, systems, and structures for implementing interconnect modeling by using a test structure which include a variation of physical wire structures between local interconnects and distant interconnects. According to one approach, the impact of variations of the physical properties for neighborhood wires are considered for the electrical modeling of interconnects. This variation between the local and distant wire characteristics allows more accurate and robust interconnect modeling to be created.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: David Overhauser
  • Publication number: 20120286169
    Abstract: The invention relates to a method of generating a two-level pattern for lithographic processing by multiple beamlets. In the method, first a pattern in vector format is provided. The vector format pattern is then converted into a pattern in pixmap format. Finally, a two-level pattern is formed by application of error diffusion on the pixmap format pattern.
    Type: Application
    Filed: November 10, 2011
    Publication date: November 15, 2012
    Applicant: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Teunis VAN DE PEUT, Marco Jan-Jaco WIELAND
  • Patent number: 8312394
    Abstract: Methods and apparatuses are described for determining mask layouts for printing a design intent on a wafer using a spacer-is-dielectric self-aligned double-patterning process. A system can determine whether a graph corresponding to a design intent is two-colorable. If the graph is not two-colorable, the system can merge one or more pairs of shapes in the design intent to obtain a modified design intent, so that a modified graph corresponding to the modified design intent is two-colorable. The system can then determine a two-coloring for the modified graph. Next, the system can place one or more core shapes in a mandrel mask layout which correspond to vertices in the modified graph that are associated with a selected color in the two-coloring. The system can then place one or more shapes in a trim mask layout for separating the shapes in the design intent that were merged.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: November 13, 2012
    Assignee: Synopsys, Inc.
    Inventors: Yonchan Ban, Kevin D. Lucas
  • Patent number: 8312397
    Abstract: In a layout pattern generating method, a specific rework cell used for edition is specified among rework cells and fill cells which are arranged in a semiconductor chip area and a specific pattern of a predetermined shape is generated in a wiring layer for the specific rework cell. A dummy wiring pattern is arranged in at least a part of the wiring layer of and the fill cell and un-specific rework cells among the rework cell other than the specific rework cell. The specific pattern is deleted from the wiring layer for the specifying rework cell. A wiring pattern is arranged in the wiring layer for the specific rework cell by wiring the specific rework cell as a logic cell.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tomoyuki Inoue
  • Publication number: 20120280354
    Abstract: An integrated circuit device having a plurality of lines is described in which the widths of the lines, and the spacing between adjacent lines, vary within a small range which is independent of variations due to photolithographic processes, or other patterning processes, involved in manufacturing the device. A sequential sidewall spacer formation process is described for forming an etch mask for the lines, which results in first and second sets of sidewall spacers arranged in an alternating fashion. As a result of this sequential sidewall spacer process, the variation in the widths of the lines across the plurality of lines, and the spacing between adjacent lines, depends on the variations in the dimensions of the sidewall spacers. These variations are independent of, and can be controlled over a distribution much less than, the variation in the size of the intermediate mask element caused by the patterning process.
    Type: Application
    Filed: May 5, 2011
    Publication date: November 8, 2012
    Applicant: SYNOPSYS, INC.
    Inventors: VICTOR MOROZ, XI-WEI LIN
  • Patent number: 8307310
    Abstract: A pattern generating method includes: extracting, from a shape of a pattern generated on a substrate, a contour of the pattern shape; setting evaluation points as verification points for the pattern shape on the contour; calculating curvatures on the contour in the evaluation points; and verifying the pattern shape based on whether the curvatures satisfy a predetermined threshold set in advance.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: November 6, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiya Kotani, Hiromitsu Mashita, Takafumi Taguchi, Ryuji Ogawa
  • Patent number: 8302036
    Abstract: Method and apparatus for designing an integrated circuit, IC, layout by identifying one or more defects in a feature within the IC layout. Determining if an identified defect is improvable. Calculating an improvability metric of the IC layout based on the number of improvable defects and the total number of identified defects.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: October 30, 2012
    Assignees: Freescale Semiconductor, Inc., ST Microelectronics (Crolles 2) SAS
    Inventors: Lionel Riviere-Cazeaux, Ashish Rajput
  • Patent number: 8302060
    Abstract: A system includes a computer readable storage medium and a processor. The computer readable storage includes data representing an input/output (“I/O”) cell of a first type for modeling and/or fabricating a semiconductor device. The I/O cell of the first type includes circuitry for providing a first plurality of functions. The processor is in communication with the computer readable storage medium and is configured to select the I/O cell of the first type, arrange a plurality of the I/O cells of the first type on a model of an semiconductor device, and store the model of the semiconductor device including the plurality of the I/O cells of the first type in the computer readable storage medium.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 30, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Renjeng Chiang, Chih-Hsien Chang
  • Patent number: 8302035
    Abstract: A method for verifying an optical proximity correction includes: performing an optical proximity correction on a target pattern layout; performing a primary verification on the target pattern layout which has undergone the optical proximity correction; performing a secondary verification on defect weak points detected in the primary verification; and performing an additional optical proximity correction on hot spot points which are detected in the secondary verification and which may be generated as defects when transferred to a real wafer.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: October 30, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin Young Choi
  • Patent number: 8296689
    Abstract: Method, apparatus, and computer readable medium for designing an integrated circuit (IC) are described. In some examples, layout data describing conductive layers of the integrated circuit is obtained. The layout data is analyzed to identify through die via (TDV) areas. A metal fill pattern is created for each of the TDV areas having a maximum metal density within design rules for the integrated circuit. The metal fill pattern for each of the TDV areas is merged with the layout data.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: October 23, 2012
    Assignee: Xilinx, Inc.
    Inventors: Arifur Rahman, Hong-tsz Pan
  • Publication number: 20120264063
    Abstract: A method including providing a present wafer to be processed by a photolithography tool, selecting a processed wafer having a past chip design from a plurality of processed wafers, the processed wafer being previously processed by the photolithography tool, selecting a plurality of critical dimension (CD) data points extracted from a plurality of fields on the processed wafer, modeling the plurality of CD data points with a function relating CD to position on the processed wafer, creating a field layout on the present wafer for a new chip design, creating an initial exposure dose map for the new chip design using the function and the field layout, and controlling the exposure of the photolithography tool according to the initial exposure dose map to form the new chip design on the present wafer.
    Type: Application
    Filed: April 14, 2011
    Publication date: October 18, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Jen Yu, Chun-Hung Lin, Juin-Hung Lin, Hsueh-Yi Chung, Li-Kong Turn, Keh-Wen Chang
  • Patent number: 8291353
    Abstract: A system includes a conversion module that preserves the shape of a contour when converting an image to a different resolution. The conversion module receives a first image and divides the first image into regions of pixel values. For each region, a contribution of the region to the pixel values in the second image is determined. The contribution is selected from a set of pre-determined contributions that are a nonlinear function of the values in the region, and the selection is made based at least in part on the values in the region. The contributions are accumulated together to generate a second image. The conversion module may be, for example, part of a design flow for an integrated circuit that connects a mask simulation stage with an optical simulation stage.
    Type: Grant
    Filed: August 29, 2011
    Date of Patent: October 16, 2012
    Assignee: Synopsys, Inc.
    Inventors: Zhijie Deng, James Patrick Shiely
  • Patent number: 8291351
    Abstract: The present invention is directed to an improved method, system, and computer program product for accessing and analyzing patterns in the integrated circuit design. The method, system or computer program product includes generating an intelligent signature for a pattern. The derived pattern signature is an intelligent pattern identifier because it retains only essential information about a pattern that corresponds to lithography printable portions of the pattern. Accordingly, one pattern signature can represent a group of design patterns that are equivalents from a lithography perspective.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: October 16, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Junjiang Lei, Kuang-Hao Lay, Srini Doddi, Weiping Fang
  • Patent number: 8291354
    Abstract: Merging sub-resolution assist features includes receiving a mask pattern that includes the sub-resolution assist features. A first sub-resolution assist feature is selected to merge with a second sub-resolution assist feature. A merge bar width of a merge bar is established. A distance between the first sub-resolution assist feature and the second sub-resolution assist feature is determined. A merging technique is determined in accordance with the distance and the merge bar width. The first sub-resolution assist feature and the second sub-resolution assist feature are merged according to the identified merging technique.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: October 16, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Sean C. O'Brien, Guohong Zhang
  • Patent number: 8291352
    Abstract: The method of the invention tracks how the collective movement of edge segments in a mask layout alters the resist image values at control points in the layout and simultaneously determines a correction amount for each edge segment in the layout. A multisolver matrix that represents the collective effect of movements of each edge segment in the mask layout is used to simultaneously determine the correction amount for each edge segment in the mask layout.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: October 16, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: William S. Wong, Been-Der Chen, Yenwen Lu, Jiangwei Li, Tatsuo Nishibe
  • Patent number: 8283094
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed.
    Type: Grant
    Filed: October 16, 2011
    Date of Patent: October 9, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Publication number: 20120252199
    Abstract: Methods are provided for designing a photolithographic mask and for fabricating a semiconductor IC using such a mask. In accordance with one embodiment a method for fabricating a semiconductor IC includes determining a design target for a region within the IC. An initial mask geometry is determined for the region having a mask opening and a mask bias relative to the design target. A sub-resolution edge ring having a predetermined, fixed spacing to an edge of the mask opening is inserted into the mask geometry and a lithographic mask is generated. A material layer is applied overlying a semiconductor substrate upon which the IC is to be fabricated and a layer of photoresist is applied overlying the material layer. The layer of photoresist is exposed through the lithographic mask and is developed. A process step is then performed on the material layer using the layer of photoresist as a mask.
    Type: Application
    Filed: April 4, 2011
    Publication date: October 4, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lei YUAN, Jongwook KYE, Harry J. LEVINSON
  • Patent number: 8279409
    Abstract: The present invention provides a method for calibrating a computational model of a lithography process by calculating a demerit function using an intensity measurement at a location of a wafer; and calibrating the lithography model or a mask making model by determining values of parameters of the computational model using the calculated demerit function. The method may also use a second demerit function that is defined by the sum of squares of differences between a simulated and measured critical dimensions of a feature on the wafer.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: October 2, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Abdurrahman Sezginer, Hsu-Ting Huang, Jesus Orsely Carrero, Tatung Chow, Kostyantyn Chuyeshov, Gokhan Percin
  • Patent number: 8281263
    Abstract: An approach is provided that computes electrical delay ranges that correspond to a number of shapes included in a hardware design layout. The electrical delay ranges are converted to shape tolerances for each of the shapes. A lithography mask of the hardware design layout is generated using the shape tolerances so that the images of the shapes in the mask produced lie within the shape tolerances that correspond to the respective shape.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 2, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kanak Agarwal, Shayak Banerjee, Sani Nassif, Chin Ngai Sze
  • Patent number: 8281262
    Abstract: One embodiment relates to a computer method of providing an electronic mask set for an integrated circuit (IC) layer. In the method, a first electronic mask is generated for the IC layer. The first electronic mask includes a first series of longitudinal segments from the IC layer, where the first series has fewer than all of the longitudinal segments in the IC layer. A second electronic mask is also generated for the IC layer. The second electronic mask includes a second series of longitudinal segments from the IC layer, where the second series has fewer than all of the longitudinal segments in the IC layer and differs from the first series. The first and second masks are generated so a coupling segment extends traverse to the first direction and couples one longitudinal segment on the IC layer to another longitudinal segment on the IC layer.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: October 2, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Thomas J. Aton
  • Publication number: 20120246602
    Abstract: An embodiment provides a method of preparing a pattern. In the pattern preparing method, when mask patterns corresponding to on-substrate patterns are prepared to form the on-substrate patterns corresponding to design patterns, the mask patterns are prepared based on a correlation which needs to be satisfied between the design patterns so that a relation which same the correlation can be satisfied between the mask patterns corresponding to the design patterns.
    Type: Application
    Filed: September 20, 2011
    Publication date: September 27, 2012
    Inventors: Sachiko Kobayashi, Satoshi Tanaka, Shigeki Nojima, Kazuhiro Takahata
  • Publication number: 20120246603
    Abstract: A semiconductor device has first wiring layers and a plurality of dummy wiring layers that are provided on the same level as the first wiring layers. The semiconductor device defines a row direction, and first virtual linear lines extending in a direction traversing the row direction. The row direction and the first virtual linear lines define an angle of 2-40 degrees, and the dummy wiring layers are disposed in a manner to be located on the first virtual linear lines. The semiconductor device also defines a column direction perpendicular to the row direction, and second virtual linear lines extending in a direction traversing the column direction. The column direction and the second virtual linear lines define an angle of 2-40 degrees, and the dummy wiring layers are disposed in a manner to be located on the second virtual linear lines.
    Type: Application
    Filed: May 31, 2012
    Publication date: September 27, 2012
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Katsumi MORI, Kei KAWAHARA, Yoshikazu KASUYA
  • Publication number: 20120246601
    Abstract: A pattern correcting method of an embodiment computes a distribution of pattern coverages on a design layout of a circuit pattern in the vicinity of a position that becomes an error pattern in a case where an on-substrate pattern is formed. Then, an area on the design layout in which a difference in the distribution of the pattern coverages becomes small by adding an addition pattern is set as an addition area. Next, addition pattern candidates to be added to the addition area are generated, an addition pattern to be added to the design layout is selected from the candidates on the basis of a predetermined selection criterion, and the addition pattern is added to the addition area.
    Type: Application
    Filed: September 20, 2011
    Publication date: September 27, 2012
    Inventors: Masanari KAJIWARA, Toshiya KOTANI, Sachiko KOBAYASHI, Hiromitsu MASHITA, Fumiharu NAKAJIMA
  • Patent number: 8276105
    Abstract: An automated method and apparatus for positioning gate array circuits in an integrated circuit design. An initial integrated circuit design includes logic cells and gate array fill circuits positioned thereon. The gate array fill circuits are positioned in available space between the adjacent logic cells so as to fill the available space with the maximum gate array fill circuits. A gate array logic element to be positioned in the integrated circuit design, such as may be required by an engineering change to the circuit design, is automatically positioned between adjacent logic cells so as to allow for full utilization of any space remaining between the adjacent logic cells by gate array fill circuits.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: September 25, 2012
    Assignee: International Business Machines Corporation
    Inventors: Joachim Keinert, Douglass T. Lamb, David W. Lewis, Shyam Ramji
  • Patent number: 8276102
    Abstract: Techniques for estimating yield of an integrated circuit design, such as a very-large-scale integration (VLSI) design, are provided. In one aspect, a method for determining a probability of failure of a VLSI query design includes the following steps. A Voronoi diagram is built comprising a set of shapes that represent the design. The Voronoi diagram is converted into a rectangular grid comprising 2t×2s rectangular cells, wherein t and s are chosen so that one rectangular cell contains from about one to about five Voronoi cells. A probability of failure is computed for each of the cells in the grid. The cells in the grid are merged pairwise. A probability of failure for the merged cells is recomputed which accounts for a spatial correlation between the cells. The pairwise merge and recompute steps are performed s+t times to determine the probability of failure of the design.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: September 25, 2012
    Assignee: International Business Machines Corporation
    Inventors: Fook-Luen Heng, Alexey Y. Lvov, Amith Singhee
  • Patent number: 8276103
    Abstract: In one embodiment, a photomask designing method is disclosed. The method includes dividing design pattern data into predetermined regions and obtaining a pattern perimeter for each of the divided regions. The method includes obtaining the pattern perimeter for an entire region of the design pattern data by repeating the obtaining the pattern perimeter for the each of the divided regions. The method includes obtaining a dimension conversion difference for the entire region of the design pattern data using the pattern perimeter for the entire region of the design pattern data and a correlation obtained in advance between a predicted pattern perimeter and a predicted dimension conversion difference. The method includes performing process proximity correction on the design pattern data using a value of the obtained dimension conversion difference, and creating exposure pattern data from the corrected design pattern data.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: September 25, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Katsumi Iyanagi
  • Publication number: 20120237877
    Abstract: The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table.
    Type: Application
    Filed: March 16, 2011
    Publication date: September 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chun Wang, Pei-Shiang Chen, Tzu-Chin Lin, Faruk Krecinic, Jeng-Horng Chen, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8271927
    Abstract: A method can include allowing a user to place a first wiring harness design component within a wiring harness topology in a wiring harness design workspace, allowing the user to place a first plurality of ground devices within the first wiring harness design component placed in the wiring harness topology, allowing the user to request an automatic ground combination, and, in response to the user requesting an automatic ground combination, automatically applying at least one electronically stored ground combination rule to a first set of ground devices comprising a plurality of the first plurality of ground devices and automatically combining at least two of the first set of ground devices into a first combined ground device based at least in part on the applied at least one electronically stored ground combination rule.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: September 18, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Simon Edward Holdsworth, Nigel Hughes, Jeffry A. Jones
  • Patent number: 8271910
    Abstract: A computer-implemented method is provided for generating an electromagnetic field (EMF) correction boundary layer (BL) model corresponding to a mask, which can include using a computer to perform a method, in which asymmetry factor data is determined from aerial image measurements of a plurality of different gratings representative of features provided on a mask, wherein the aerial image measurements having been made at a plurality of different focus settings. The method may also include determining boundary layer (BL) model parameters of an EMF correction BL model corresponding to the mask by fitting to the asymmetry factor measurements. Alternatively, the asymmetry factor data can be determined from measurements of line widths of photoresist patterns, wherein the photoresist patterns correspond to images cast by a plurality of gratings at a plurality of different defocus distances, and the gratings can be representative of features of a mask.
    Type: Grant
    Filed: March 29, 2010
    Date of Patent: September 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jaione Tirapu-Azpiroz, Timothy A. Brunner, Michael S. Hibbs, Alan E. Rosenbluth
  • Publication number: 20120233575
    Abstract: A layout method for an integrated circuit including vias connecting stacked metal layers through cuts in intermediate cut layers includes generating interconnection blockage and obstruction statements that define exclusion regions of the metal layers blocked by existing initial interconnections for routing additional interconnections. Shape, size and spacing data are generated for de-selection areas of the exclusion regions in the conductive layers. The de-selection areas are sufficiently far from the boundaries of the exclusion regions that cut spacing rules applied to the initial cuts within the de-selection areas do not block placement of additional cuts outside the exclusion regions of the conductive layers. Only those of the initial cuts within the exclusion regions that lie outside the de-selection areas are selected. Cut blockage and obstruction statements are generated for the selected cuts.
    Type: Application
    Filed: March 10, 2011
    Publication date: September 13, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventor: Madhur KASHYAP
  • Patent number: 8266552
    Abstract: Pattern formation simulations are performed based on design layout data subjected to OPC processing with a plurality of process parameters set in process conditions. A worst condition of the process conditions is calculated based on risk points extracted from simulation results. The design layout data or the OPC processing is changed such that when a pattern is formed under the worst condition based on the changed design layout data or the changed OPC processing a number of the risk points or a risk degree of the risk points of the pattern is smaller than the simulation result.
    Type: Grant
    Filed: February 15, 2010
    Date of Patent: September 11, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takafumi Taguchi, Toshiya Kotani, Michiya Takimoto, Fumiharu Nakajima, Ryota Aburada, Hiromitsu Mashita, Katsumi Iyanagi, Chikaaki Kodama
  • Patent number: 8266558
    Abstract: Methods of forming arrays of small, densely spaced holes or pillars for use in integrated circuits are disclosed. Various pattern transfer and etching steps can be used, in combination with pitch-reduction techniques, to create densely-packed features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed patterns of crossing elongate features with pillars at the intersections. Spacers are simultaneously applied to sidewalls of both sets of crossing lines to produce a pitch-doubled grid pattern. The pillars facilitate rows of spacers bridging columns of spacers.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: September 11, 2012
    Assignee: Micron Technology, Inc.
    Inventor: David H. Wells