Layout Generation (polygon, Pattern Feature) Patents (Class 716/55)
  • Patent number: 8527915
    Abstract: The present disclosure provides a method and system for modifying a doped region design layout during mask preparation to tune device performance. An exemplary method includes receiving an integrated circuit design layout designed to define an integrated circuit, wherein the integrated circuit design layout includes a doped feature layout; identifying an area of the integrated circuit for device performance modification, and modifying a portion of the doped feature layout that corresponds with the identified area of the integrated circuit during a mask preparation process, thereby providing a modified doped feature layout.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: September 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Ling-Sung Wang, Chih-Hsun Lin, Chih-Kang Chao
  • Patent number: 8527918
    Abstract: The present disclosure provides integrated circuit methods for target-based dummy insertion. A method includes providing an integrated circuit (IC) design layout, and providing a thermal model for simulating thermal effect on the IC design layout, the thermal model including optical simulation and silicon calibration. The method further includes providing a convolution of the thermal model and the IC design layout to generate a thermal image profile of the IC design layout, defining a thermal target for optimizing thermal uniformity across the thermal image profile, comparing the thermal target and the thermal image profile to determine a difference data, and performing thermal dummy insertion to the IC design layout based on the difference data to provide a target-based IC design layout.
    Type: Grant
    Filed: September 7, 2011
    Date of Patent: September 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Chou Cheng, Boren Luo, Wen-Hao Liu, Tsong-Hua Ou, Chih-Wei Hsu, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8527912
    Abstract: The present invention provides a method for digitally obtaining contours of fabricated polygons. A GDS polygon described in a Geographic Data System (GDS) file is provided. Based on the GDS polygon, a plurality of identical polygons is fabricated with the same fabrication process such that shapes of the plurality of identical polygons are altered by optical effects in the same or similar way. The plurality of identical polygons forms poly-silicon gates of a plurality of test transistors. The position of source and drain islands along a length of a poly-silicon gate for each of the plurality of test transistors is different. Using Automated Test Equipment (ATE), a digital test is performed on a circuit including the plurality of test transistors to obtain test responses, the test responses being raw digital data. The test responses may be displayed in a histogram reflecting a contour of the plurality of identical polygons or post-processed to reconstruct a contour of the plurality of identical polygons.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: September 3, 2013
    Assignee: LSI Corporation
    Inventor: Erik Chmelar
  • Publication number: 20130227500
    Abstract: A system for calculating mask data to create a desired layout pattern on a wafer reads all or a portion of a desired layout pattern. Mask data having pixels with transmission values is defined along with corresponding optimal mask data pixel transmission values. An objective function is defined that compares image intensities as would be generated on a wafer with an optimal image intensity at a point corresponding to a pixel. The objective function is minimized to determine the transmission values of the mask pixels that will reproduce the desired layout pattern on a wafer.
    Type: Application
    Filed: September 19, 2012
    Publication date: August 29, 2013
    Applicant: Mentor Graphics Corporation
    Inventor: Mentor Graphics Corporation
  • Publication number: 20130227499
    Abstract: This invention comprises a layout method to effectively protect logic circuits against soft errors (non-destructive errors) and circuit cells, with layout, which are protected against soft errors. In particular, the method protects against cases where multiple nodes in circuit are affected by a single event. These events lead to multiple errors in the circuit, and while several methods exist to deal with single node errors, multiple node errors are very hard to deal with using any currently existing protection methods. The method is particularly useful for CMOS based logic circuits in modem technologies (.ltoreq.90 nm), where the occurrence of multiple node pulses becomes high (due to the high integration level). It uses a unique layout configuration, which makes the circuits protected against single event generated soft-errors.
    Type: Application
    Filed: May 3, 2012
    Publication date: August 29, 2013
    Inventor: Klas Olof Lilja
  • Patent number: 8522172
    Abstract: A method of forming a photomask using a calibration pattern that may exactly transfer a desired pattern to a substrate. The method includes providing one-dimensional calibration design patterns each having first design measures and providing two-dimensional calibration design patterns each having second design measures; obtaining one-dimensional calibration measured patterns using the one-dimensional calibration design patterns and obtaining two-dimensional calibration measured patterns using the two-dimensional calibration design patterns; obtaining first measured measures of the one-dimensional calibration measured patterns and obtaining second measured measures of the two-dimensional calibration measured patterns; establishing a correlation between the first measured measures and the second measured measures; and converting a main measured measure of a main pattern into a corresponding one of the first measured measures using the correlation.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: August 27, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-keun Yoon, Hee-bom Kim, Myoung-soo Lee, Chan-uk Jeon, Hak-seung Han
  • Publication number: 20130216795
    Abstract: A reticle for lens heating mitigation includes a substrate, a target pattern and a redistributive pattern. The substrate includes a live pattern region and the target pattern is disposed within the live pattern region for constructing the target pattern onto a wafer. The redistributive pattern is also disposed within the live pattern region for redistributing energy onto a lens without being printed onto the wafer and without correcting said target pattern to be printed onto the wafer.
    Type: Application
    Filed: February 22, 2012
    Publication date: August 22, 2013
    Inventors: Jianming Zhou, Anton Devilliers, Erik Byers
  • Publication number: 20130219351
    Abstract: A method of designing a photo mask layout may include selecting a target pattern from polygonal patterns in a layout, setting a reference point on the target pattern, obtaining a target raster at the reference point, and comparing the target raster with a hot-spot raster to determine whether the target pattern corresponds to a failure pattern.
    Type: Application
    Filed: September 25, 2012
    Publication date: August 22, 2013
    Inventor: Seong-Bo SHIM
  • Patent number: 8516405
    Abstract: In one aspect, the present invention is directed to a technique of, and system for simulating, verifying, inspecting, characterizing, determining and/or evaluating the lithographic designs, techniques and/or systems, and/or individual functions performed thereby or components used therein. In one embodiment, the present invention is a system and method that accelerates lithography simulation, inspection, characterization and/or evaluation of the optical characteristics and/or properties, as well as the effects and/or interactions of lithographic systems and processing techniques.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: August 20, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yen-Wen Lu, Yu Cao, Luoqi Chen, Xun Chen
  • Patent number: 8513978
    Abstract: A cell-based architecture for an integrated circuit. A row of cell instances borders a first adjacent row of cell instances along a first boundary and a second adjacent row of cell instances along a second boundary. A first power rail (e.g., carrying an auxiliary voltage) extends along the first boundary. A second power rail (e.g., VSS) extends along the second boundary. The second power rail is wider than the first power rail. Additionally, a third power rail (e.g., VDD) extends across the interior of the second row of cells.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: August 20, 2013
    Assignee: Synopsys, Inc.
    Inventor: Deepak D. Sherlekar
  • Patent number: 8516402
    Abstract: A method for automatically decomposing a shape of an IC design layout into two or more shapes in order to resolve a double patterning loop violation involving the shape. The method decomposes the shape by introducing one or more splicing graphs on the shape. These splicing graphs serve as cuts to be made on the shape. By decomposing the shape into several shapes and assigning the shapes to alternating masks for the same layer, the method breaks the double patterning loop. That is, no pair of the shape and other shapes that form the loop will be assigned to the same color for a mask after the shape is decomposed. In some embodiments, the method introduces splicing points to more than one shape of the loop-forming shapes when necessary. Some embodiments minimize the number of splicing points introduced to the shape(s).
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Xiaojun Wang
  • Patent number: 8516400
    Abstract: A method for predicting tolerable contact-to-gate spacing is provided. At first, a wafer with a plurality of source/drain contacts are provided. Then, a plurality of testing gate lines are formed on the wafer by using a photomask. In one die, there are different contact-to-gate distances ranging from d+?d to d??d wherein d is the standard spacing and ?d<d. Then, the wafer is inspected to find failure counts corresponding to each contact-to-gate distance. The tolerable spacing is determined according to the failure counts and the contact-to-gate distances based on a statistical method.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: August 20, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Li Kuo, Wen-Jung Liao, Jiun-Hau Liao, Min-Chin Hsieh, Chun-Liang Hou, Shuen-Cheng Lei
  • Patent number: 8516406
    Abstract: Various embodiments are directed at methods and systems for implementing automatic fixing of a layout, implementing fuzzy pattern replacement, and implementing pattern capturing in a layout of an electronic circuit design. Various processes or modules comprise the act or module of identifying a first pattern from within an electronic circuit layout. The processes or modules also comprise identifying a fixing process or a replacement pattern for the first pattern and the act of performing pattern replacement or pattern fixing on the first pattern. The processes or modules may further comprise the act or module of searching the layout for patterns that match the first pattern, and the act or module of performing pattern replacement of pattern fixing on the patterns that match the first pattern. Some embodiments are also directed at articles of manufacture embodying a sequence of instructions for implementing the processes described here.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ya-Chieh Lai, Frank Gennari, Olivier Omedes, Olivier Pribetich
  • Patent number: 8516403
    Abstract: A mechanism is provided for multiple patterning lithography with conflict removal aware coloring. The mechanism makes multiple patterning coloring aware of the conflict removal overhead. The coloring solution explicitly considers ease of conflict removal as one of the coloring objectives. The mechanism pre-computes how much shapes can move in each direction. The mechanism generates a conflict graph where nodes represent shapes in the layout and edges represent conflicts between shapes. The mechanism assigns weights to edges based on available spatial slack between conflicting features. The mechanism then uses the weights to guide multiple patterning coloring. The mechanism prioritizes conflicting features with higher weights to be assigned different colors.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: August 20, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rani S. Abou Ghaida, Kanak B. Agarwal, Lars W. Liebmann, Sani R. Nassif
  • Patent number: 8516404
    Abstract: Disclosed are method(s), system(s), and article(s) of manufacture for implementing a layout of an electronic circuit using one or more constraint checking windows. The method identifies some constraints on multiple-patterning lithography and multiple constraint checking windows for the layout. The method determines one or more metrics for a constraint checking window or for a layout and assigns one or more shapes in the one or more constraint checking windows to their respective mask designs based on the one or more metrics. The method traverses through the one or more constraint checking windows until all shapes in the layout are assigned to their respective mask designs. The method may also determine a processing order for the one or more constraint checking windows based on the distribution of a type of shapes in the layout.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Min Cao, Roland Ruehl, Gilles S. C. Lamant
  • Patent number: 8516408
    Abstract: Techniques for forming a first electronic circuit including a plurality of instances of a repeatable circuit element include the steps of: obtaining a total number of instances of the repeatable circuit element in a design of an IC including the first electronic circuit and at least a second electronic circuit; and configuring at least one functional parameter of the first electronic circuit as a function of the total number of instances of the repeatable circuit element in the IC to thereby satisfy a prescribed minimum composite manufacturing yield of the IC and/or at least one specification of the IC under prescribed operating conditions.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Richard Bruce Dell, Ross A. Kohler, Richard J. McPartland, Wayne E. Werner
  • Patent number: 8516407
    Abstract: A method for fabricating an integrated circuit is disclosed that includes, in accordance with an embodiment, providing a double patterning technology-compliant logical design for the integrated circuit, the logical design including a plurality of elements; scoring the design of one or more of the plurality of elements to produce a design score; modifying the design based at least in part on the design score; generating a mask set implementing the modified logical design; and employing the mask set to implement the logical design in and on a semiconductor substrate.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: August 20, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Lynn T. Wang, Sriram Madhavan, Luigi Capodieci
  • Patent number: 8516418
    Abstract: A relational database may be integrated into an integrated circuit design and analysis environment as the persistent data store for data associated with the design. This design data may include two or more abstractions of the design, such as layout data models and timing data models, in some embodiments. Design data may be partitioned in the database and indexed according to various attributes. The use of a relational database may facilitate cross-probing of design data corresponding to different abstractions of the design. The relational database may be queried to produce design reports and to identify design errors or weaknesses. Reports may be graphical or tabular, and may be displayed, printed, stored, or posted for viewing. Proposed modifications to a design may be investigated by modifying data in the relational database, rather than in the actual design. Design reports may be re-generated and compared with corresponding reports for the un-modified design.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: August 20, 2013
    Assignee: Oracle America, Inc.
    Inventors: Gunjeet Singh, Aman U. Joshi
  • Patent number: 8516401
    Abstract: Methods for jointly calibrating etch and exposure mask process models from etch only data are described. Initially, an etch model and an exposure model may be identified. Subsequently, a combined etch/exposure model may be generated based upon the etch model and the exposure model. Following which, a global optimization process may be performed to calibrate the combined etch/exposure model based upon measured data representing the etch and the exposure effects. With some implementations, the global optimization process is based in part upon a cost function representing the norm of the difference between the simulated mask contours and the measured mask contours. Furthermore, in some implementations, the optimization variable set is the union of the parameter sets corresponding to the etch model and the exposure model individually. Further still, with various implementations, the optimization of based upon the etch parameter set is “nested” inside an optimization of the exposure parameter set, or, vice versa.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: August 20, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Emile Sahouria, Yuanfang Hu
  • Publication number: 20130212544
    Abstract: A computer implemented method comprises accessing a 3D-IC model stored in a tangible, non-transitory machine readable medium, processing the model in a computer processor to generate a temperature map containing temperatures at a plurality of points of the 3D-IC under the operating condition; identifying an electromigration (EM) rating factor, and calculating and outputting from the processor data representing a temperature-dependent EM current constraint at each point.
    Type: Application
    Filed: May 22, 2012
    Publication date: August 15, 2013
    Inventors: Chi-Yeh YU, Chung-Min FU, Ping-Heng YEH
  • Patent number: 8510689
    Abstract: A method, system, and computer program product are disclosed for using pattern-dependent models at early stages of the design process. This addresses the key disadvantage of prior approaches which are restricted to using such models later in the design process for IC designs that are nearly complete. Pattern-dependent manufacturing effects are extracted from early stage designs and using the extracted pattern-dependent effects to efficiently and effectively design the integrated circuit. One or more contexts are built around one or more units of the design, with examples of units being a block or cell. The units are then used in the context to generate pattern-dependent data as a basis for one or more pattern-dependent models.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: David White
  • Patent number: 8510687
    Abstract: The present disclosure involves a method of data preparation in lithography processes. The method of data preparation includes providing an integrated circuit (IC) layout design in a graphic database system (GDS) grid, and converting the IC layout design GDS grid to a second exposure grid by applying an error diffusion and a grid shift technique to a sub-pixel exposure grid.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: August 13, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Yi Liu, Shy-Jay Lin, Wen-Chuan Wang, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8510685
    Abstract: Disclosed are methods, systems, and articles of manufacture for processing a electronic design, which use a computer system to identify an operation associated with a task to be performed on the electronic design, to generate a hierarchical output for multiple shapes for performing the task based at least in part on performing an operation associated with the task, and to display or to store the hierarchical output. The task comprises a dummy fill insertion task or a design verification task in some embodiments. The methods or the systems may further determine or identify an inverse transform and apply the inverse transform to a shape before adding the shape to the hierarchical output. In some embodiments, there exists no duplication among the shapes in the hierarchical output, or only shapes derived from original shapes that belong to the first instance of a cellview master are added to the hierarchical output.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 13, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sabra Rossman, Mark Rossman
  • Patent number: 8510686
    Abstract: Various implementations of the invention provide for generation of a high transmission phase shift mask layout through inverse lithography techniques. In various implementations of the present invention, a set of mask data having a plurality of pixels is generated. The transmission value associated with each pixel may then be determined through an inverse lithography technique. With various implementations of the invention, the inverse lithography technique identifies an objective function, minimizes the objective function in relation to a simulation of the optical lithographic process, such that the transmission value, which is greater than 6%, may be determined.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: August 13, 2013
    Assignee: IMEC
    Inventors: Eric Henri Jan Hendrickx, Alexander V. Tritchkov, Kyohei Sakajiri
  • Patent number: 8510684
    Abstract: A method of forming a layout of a photomask includes receiving a layout of a mask pattern, obtaining image parameters of a two-dimensional (2D) layout mask from a simulation, obtaining image parameters of a three-dimensional (3D) layout mask from a simulation, and obtaining differences between the image parameters of the 2D and 3D masks. The differences between the image parameters of the 2D and 3D masks can be compensated by convolving a probability function with respect to an open area, represented by a visible kernel function, with a mask function to produce a first function, convolving a probability function with respect to a blocked area, represented by a visible kernel function, with the mask function to produce a second function, and summing the first function and the second function to produce a compensated vector. The layout of the mask pattern can be corrected using the compensated vector.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-Gyu Jeong, Seong-Woon Choi, Jung Hoon Ser
  • Publication number: 20130205267
    Abstract: One embodiment relates to a pattern data system for maskless electron beam lithography. The system includes a renderer that receives pre-exposure die image data, performs rendering of the pre-exposure die image data to generate raster data. The system further includes a plurality of data distributors communicatively coupled to the renderer. Each data distributor adapts the raster data to characteristics of an associated pattern writer. Other embodiments, aspects and feature are also disclosed.
    Type: Application
    Filed: January 30, 2013
    Publication date: August 8, 2013
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20130205266
    Abstract: A method comprises: accessing a persistent, machine readable storage medium containing data representing an integrated circuit (IC) design to be fabricated using multi-patterning; identifying at least one network of conductive patterns configured to transmit signals that substantially impact timing of at least one circuit in the IC; pre-grouping the at least one network of conductive patterns in a first group; and electronically providing data to an electronic design automation (EDA) tool to cause inclusion in a first single photomask of all portions of the patterns within the first group that are to be formed in a single layer of the IC, wherein the single layer is to be multi-patterned using at least two photomasks.
    Type: Application
    Filed: February 3, 2012
    Publication date: August 8, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co.,Ltd.
    Inventors: Wen-Hao Chen, Yuan-Te Hou, Yi-Kan Cheng
  • Patent number: 8504959
    Abstract: A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: August 6, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Juan Andres Torres Robles, William S. Graupp, Mark C. Simmons
  • Patent number: 8504951
    Abstract: According to one embodiment, generating virtual data by mirroring data based on a dimension measurement result in a measurement region on an inner side of a shot region to a non-shot region on an outer side of a shot edge, and calculating dose data of the measurement region and a non-measurement region based on data in the measurement region and the virtual data are included.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: August 6, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yosuke Okamoto, Takashi Koike
  • Patent number: 8501374
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which a plurality of shaped beam shots is determined which will form a target pattern on a surface, within a predetermined tolerance, where the plurality of shaped beam shots includes a plurality of circular or nearly-circular character projection (CP) shots plus one or more non-circular shot, and where at least two shots in the plurality of circular or nearly-circular shots overlap. Methods for manufacturing a surface and for manufacturing a semiconductor device on a substrate are also disclosed.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: August 6, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8499259
    Abstract: A polishing estimation/evaluation device includes a dividing unit, an overpolished area extracting unit, and a dummy modifying unit. The dividing unit divides a layout of an integrated circuit into a plurality of partial areas. The overpolished area extracting unit refers to an overpolishing condition indicating whether overpolishing occurs in a vicinity of a partial area based on a wiring density in the partial area and a wiring density in surrounding areas of the partial area, and extracts a partial area where the overpolishing occurs from the plurality of partial areas obtained by the division by the dividing unit. The dummy modifying unit modifies dummy wiring in the partial area where the overpolishing occurs extracted by the overpolished area extracting unit and/or dummy wiring in surrounding areas of the partial area to reduce the number of partial areas where the overpolishing occurs.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: July 30, 2013
    Assignee: Fujitsu Limited
    Inventor: Daisuke Fukuda
  • Patent number: 8499261
    Abstract: Provided is an apparatus for fabricating a semiconductor device. The apparatus includes a first photomask and a second photomask. The first photomask has a plurality of first features thereon, and the first photomask having a first global pattern density. The second photomask has a plurality of second features thereon, and the second photomask has a second global pattern density. The plurality of first and second features collectively define a layout image of a layer of the semiconductor device. The first and second global pattern densities have a predetermined ratio.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: July 30, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hui Chih, Cheng-Kun Tsai, Wen-Chun Huang, Ru-Gun Liu, Chii-Ping Chen, Jiing-Feng Yang
  • Publication number: 20130191796
    Abstract: Methods are disclosed of modifying an integrated circuit (IC) design that utilizes multiple patterning technology (MPT). The methods include configuring a first layout of an integrated circuit, having at least one layer with features to be formed utilizing fabrication by at least two masks. The at least one layer includes a plurality of active cells and a plurality of spare cells. A second layout is configured to re-route the spare cells and active cells, wherein the re-routing utilizes at least a portion of the plurality of spare cells. Fewer than all of the at least two masks are replaced to configure the second layout.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Hao CHEN, Yuan-Te HOU, Yi-Kan CHENG
  • Patent number: 8495550
    Abstract: This invention comprises a layout method to effectively protect electronic circuits against soft errors (non-destructive errors) and circuit cells, which are protected against soft errors. The invention applies a layout method to sequential and combinational logic to generate specific circuit cells with netlists and layouts which are hardened against single event generated soft-errors. It also devices methods of how two or more such cells should be laid out and placed relative to each other, in order to have the best global soft-error protection.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: July 23, 2013
    Inventor: Klas Olof Lilja
  • Patent number: 8495527
    Abstract: A method for edge correction in pattern recognition includes generating a pattern recognition output for a pattern recognition process, including receiving, in the processor, a design layout, receiving a sample plan based on the design layout, receiving a first user-generated edge input, generating a pattern recognition recipe output from the design layout, the sample plan and the user-generated edge input, wherein the pattern recognition recipe output is configured to drive the pattern recognition process, generating a measurement model from the pattern recognition process, generating a measurement model pattern recognition output for an measurement model pattern recognition process, including receiving a second user-generated input and generating a measurement model pattern recognition recipe output from the measurement model and the second user-generated edge input, wherein the measurement model pattern recognition recipe output configured to drive the measurement model pattern recognition process.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Todd C. Bailey, Daniel S. Fischer, Dongbing Shao
  • Patent number: 8495524
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8495529
    Abstract: A method of generating a mask having optical proximity correction features.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: July 23, 2013
    Assignee: ASML Masktools B.V.
    Inventors: Douglas van Den Broeke, Jang Fung Chen
  • Patent number: 8495540
    Abstract: A computer system selects a signal conductor from an electronic circuit design layout and assigns a first potential to the selected signal conductor. Next, the computer system assigns a second potential to other signal conductors included in the electronic circuit design layout. The computer system then selects a metal fill from the electronic circuit design layout, which is void from carrying an electrical signal, and generates a zero charge equation for the selected metal fill. The zero charge equation establishes that a total charge residing on the selected metal fill is equal to zero. The computer system includes the zero charge equation in a system of equations, which includes grid point potential equations, and solves the system of equations. In turn, the computer system computes capacitance values for the signal conductors based upon the system of equation solutions, and simulates the electronic circuit design layout using the computed capacitance values.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ibrahim M. Elfadel, Tarek Ali El Moselhy, David J. Widiger
  • Patent number: 8490244
    Abstract: A method of automatically generating structure files employing a full structure generator automated program is provided. An annotated device layout file is generated from a design layout by annotating the codes for design shapes with additional text representing the functionality of a physical structure associated with each design shape. Functioning individual semiconductor devices are identified from the annotated device layout file, and a circuit area including multiple interconnected semiconductor devices are identified. A front-end-of-line (FEOL) device structure file and a back-end-of-line (BEOL) device structure file are generated from layer by layer analysis of the components of the annotated device layout within the circuit area. Finite element meshes (FEMs) are generated for the FEOL and BEOL structure files and merged to provide a structure file that can be employed for simulation of semiconductor devices therein.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rajiv V. Joshi, Ajay N. Bhoj
  • Patent number: 8495530
    Abstract: A mechanism is provided for electrical yield enhancement retargeting of photolithographic layouts. Optical proximity correction is performed on a set of target patterns in order to produce a set of optical proximity correction mask shapes. A set of lithographic contours is generated for each of the set of optical proximity correction mask shapes. A determination is made of electrical yield sensitivities for at least one shape in a set of shapes in the set of target patterns. A determination is also made as to an amount and a direction of retargeting for each shape in the set of shapes based on the electrical yield sensitivity of the shape. A new set of target patterns with retargeted edges is generated for each shape based on the amount and the direction of retargeting.
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventor: Kanak B. Agarwal
  • Patent number: 8495523
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8495549
    Abstract: A method includes connecting in a wiring area a plurality of basic block patterns which include a plurality of track patterns extending to one direction and being disposed at a prescribed pitch in an intersection direction intersecting the one direction to generate a plurality of parallel wiring patterns, each of which includes the track patterns connected together; generating a wiring route running on a track pattern; cutting away a track pattern terminal end, on which no wiring route runs, out of track pattern terminal ends of a track pattern including a route end of the wiring route and an adjacent track pattern connected to a track pattern start end of the track pattern concerned; and generating a wiring pattern data including a block pattern identifier corresponding to a basic block pattern out of the basic block patterns in the wiring area and a layout position of the basic block pattern.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: July 23, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Takashi Maruyama, Shinji Sugatani
  • Patent number: 8492205
    Abstract: An array with cells that have adjacent similar structures that are displaced from each other across a common cell border in a direction that is not perpendicular to the cell border thus avoiding an across cell border design rule violation between the adjacent similar structures. A method of forming reduced area memory arrays by moving adjacent similar structures that is not perpendicular to a fully identical common cell border. A method of building arrays using conventional array building software by forming unit pairs with cells that are not identical and are not mirror images or rotated versions of each other.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: July 23, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Theodore W. Houston, Robert R. Garcia
  • Patent number: 8490031
    Abstract: A method for manufacturing a semiconductor device includes the steps of reading physical layout data of a circuit to be manufactured and performing calculation to modify a pattern width in the physical layout data by a predetermined amount; reading a physical layout and analyzing a pattern that is predicted to remain as a step difference of a predetermined amount or more in a case where a planarization process is performed on a planarizing film on a pattern by a quantitative calculation by using at least one of a density of patterns, a pattern width, and a peripheral length of a range of interest and a range in the vicinity of the range of interest; and reading data of the pattern that is predicted to remain as a step difference, and making a correction to a layout in which a step difference of a predetermined amount or more does not remain.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: July 16, 2013
    Assignee: Sony Corporation
    Inventors: Kyoko Izuha, Shunichi Shibuki, Takashi Sakairi
  • Patent number: 8490034
    Abstract: Computationally intensive electronic design automation operations are accelerated with algorithms utilizing one or more graphics processing units. The optical proximity correction (OPC) process calculates, improves, and optimizes one or more features on an exposure mask (used in semiconductor or other processing) so that a resulting structure realized on an integrated circuit or chip meets desired design and performance requirements. When a chip has billions of transistors or more, each with many fine structures, the computational requirements for OPC can be very large. This processing can be accelerated using one or more graphics processing units.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: July 16, 2013
    Assignee: Gauda, Inc.
    Inventors: Ilhami H. Torunoglu, Ahmet Karakas, Erich E. Elsen
  • Patent number: 8486587
    Abstract: A method for correcting a layout pattern includes the following steps. A first layout pattern, a second layout pattern, and a mis-alignment value are provided. The first layout pattern includes a first conducting line pattern, and the second layout pattern includes at least one contact via pattern. The contact via pattern at least partially overlaps the first conducting line pattern. The layout pattern is verified whether spacing between the contact via pattern and the first conducting line pattern is smaller than the mis-alignment value by a computing system. A first modified contact via pattern is then obtained by expanding the contact via pattern along a direction away from the spacing smaller than the mis-alignment value.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: July 16, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chen-Hua Tsai, Chia-Wei Huang
  • Patent number: 8490032
    Abstract: Techniques and systems for converting a non-bandlimited pattern layout into a band-limited pattern image are described. During operation, the system receives the non-bandlimited pattern layout which comprises one or more polygons. The system further receives an anti-aliasing filter (AAF) kernel, wherein the AAF kernel is configured to convert a non-bandlimited pattern into a band-limited pattern. The system then constructs an AAF lookup table for the AAF kernel, wherein the AAF lookup table contains precomputed values for a set of convolution functions which are obtained by convolving a set of basis functions with the AAF kernel. Next, the system creates a sampled pattern layout by applying a grid map over the pattern layout. The system then obtains the band-limited pattern image by using the AAF lookup table to convolve the AAF kernel with each grid location in the sampled pattern layout.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: July 16, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michael L. Rieger, Micheal Cranford, John P. Stirniman
  • Publication number: 20130179848
    Abstract: A method and system check a double patterning layout in abutting cells and switch the pattern in one of the cells if the edge patterns in each cell are in the same mask. The method includes receiving layout data having patterns in abutting cells, changing a designated mask in one cell if the edge patterns are in the same mask, adjusting cell edge spacings at a shared edge according to a minimum spacing rule and a G1-rule, and outputting a presentation of the layout data.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 11, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chia-Chu LIU, Kuei Shun CHEN
  • Patent number: 8484584
    Abstract: At least one pattern of a photomask is identified that has a likelihood of causing collapse of a microelectronic device feature that is formed using the photomask, due to surface tension of a solution that is applied to the feature during manufacture of the microelectronic device. The patterns of the photomask are then modified to reduce the likelihood of the collapse. The photomask may be formed and the photomask may be used to manufacture microelectronic devices. Related methods, systems, devices and computer program products are described.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mi-kyeong Lee, Seong-woon Choi
  • Patent number: 8484586
    Abstract: A system and method is provided which predicts problematic areas for lithography in a circuit design, and more specifically, which uses modeling data from a modeling tool to accurately predict problematic lithographic areas. The method includes identifying surface heights of plurality of tiles of a modeled wafer, and mathematically mimicking a lithographic tool to determine best planes of focus for exposure for the plurality of tiles.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: July 9, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Timothy A. Brunner, Stephen E. Greco, Bernhard R. Liegl, Hua Xiang