Patents Examined by Anita K Alanko
  • Patent number: 10683439
    Abstract: A chemical mechanical polishing composition, including, as initial components: water; an abrasive; an inorganic salt of an alkali metal or an ammonium salt or mixtures thereof; a benzyltrialkyl quaternary ammonium compound having formula (I): wherein R1, R2 and R3 are each independently chosen from a (C1-C4)alky group; an anion; and, a hydroxyl-containing quaternary ammonium compound having formula (II): wherein R4, R5, R6 is each independently chosen from H and an alkyl group; wherein R7 is an alkylene group; and anions. Also disclosed are methods for polishing a substrate with the chemical mechanical polishing composition.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: June 16, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 10668497
    Abstract: In order to solve the problem of satisfactorily removing a resist from the surface of a substrate, the present invention is a substrate processing device (1) having a spin chuck (5) and an SPM feed unit (6) for feeding SPM to the substrate (W) held by the spin chuck (5), wherein the SPM feed unit (6) includes a mixing unit (30) for mixing an aqueous hydrogen peroxide solution and hydrofluoric acid and producing a liquid mixture of hydrogen peroxide water and hydrofluoric acid, and an HF-mixed SPM production unit (14) for mixing the liquid mixture and sulfuric acid and producing HF-mixed SPM.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: June 2, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Yusuke Akizuki
  • Patent number: 10658172
    Abstract: Methods and apparatuses for depositing material into high aspect ratio features, features in a multi-laminate stack, features having positively sloped sidewalls, features having negatively sloped sidewalls, features having a re-entrant profile, and/or features having sidewall topography are described herein. Methods involve depositing a first amount of material, such as a dielectric (e.g., silicon oxide), into a feature and forming a sacrificial helmet on the field surface of the substrate, etching some of the first amount of the material to open the feature opening and/or smoothen sidewalls of the feature, and depositing a second amount of material to fill the feature. The sacrificial helmet may be the same as or different material from the first amount of material deposited into the feature.
    Type: Grant
    Filed: March 6, 2019
    Date of Patent: May 19, 2020
    Assignee: Lam Research Corporation
    Inventors: Joseph R. Abel, Pulkit Agarwal, Richard Phillips, Purushottam Kumar, Adrien LaVoie
  • Patent number: 10619251
    Abstract: An etching solution for copper and copper alloy surfaces comprising at least one acid, at least one oxidising agent suitable to oxidise copper, at least one source of halide ions and further at least one polyamide containing at least one polymeric moiety according to formula (I) wherein each a is independently from each other selected from 1, 2 and 3; each b is an integer independently from each other ranging from 5 to 10000; each R1 is a monovalent residue independently from each other selected from the group consisting of substituted or unsubstituted C1-C8-alkyl groups and a method for its use are provided. Such etching solution is particularly useful for retaining the shape of treated copper and copper alloy lines.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: April 14, 2020
    Assignee: Atotech Deutschland GmbH
    Inventors: Fabian Michalik, Norbert Lützow, Josef Gaida, Thomas Hülsmann, Gabriela Schmidt
  • Patent number: 10615026
    Abstract: A substrate processing method includes a liquid film forming step of forming a liquid film of an organic solvent with which a whole area of an upper surface of a substrate is covered in order to replace a processing liquid existing on the upper surface with an organic solvent liquid, a thin film holding step of thinning the liquid film of the organic solvent by rotating the substrate at a first high rotational speed while keeping surroundings of the whole area of the upper surface in an atmosphere of an organic solvent vapor and holding a resulting thin film of the organic solvent on the upper surface, and a thin-film removing step of removing the thin film from the upper surface after the thin film holding step, and the thin-film removing step includes a high-speed rotation step of rotating the substrate at a second high rotational speed.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: April 7, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Shota Iwahata, Masayuki Otsuji
  • Patent number: 10607853
    Abstract: The present invention relates to a CMP slurry composition for polishing a copper line, the CMP slurry composition comprising a colloidal silica, an oxidizing agent, a complexing agent, a corrosion inhibitor, a pH regulator, and ultrapure water. The colloidal silica has a specific surface area (BET) of 72.9 to 88.5 m2/g, and 0.1 to 2 wt % of the colloidal silica is included in the CMP slurry composition. The CMP slurry composition has an excellent copper line polishing rate, has a low number of defects and minimizes scratches after polishing, and can minimize dishing.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: March 31, 2020
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jong Il Noh, Dong Hun Kang, Jeong Hwan Jeong, Young Nam Choi
  • Patent number: 10590543
    Abstract: A method for surface-finishing one or more metal liners is provided, the method comprising chemical milling to remove wrinkled textures generated during the plastic deformation of the metal liners.
    Type: Grant
    Filed: February 7, 2019
    Date of Patent: March 17, 2020
    Assignee: Samtech International, Inc.
    Inventors: Naoki Hashimotodani, Tomonori Kubota, Masahiko Fumimoto, Huu Minh Nguyen
  • Patent number: 10584266
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising (a) ceria abrasive particles, (b) a cationic polymer, (c) a nonionic polymer comprising polyethylene glycol octadecyl ether, polyethylene glycol lauryl ether, polyethylene glycol oleyl ether, poly(ethylene)-co-poly(ethylene glycol), octylphenoxy poly(ethyleneoxy)ethanol, or a combination thereof, (d) a saturated monoacid, and (e) an aqueous carrier. The invention also relates to a method of polishing a substrate.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: March 10, 2020
    Assignee: Cabot Microelectronics Corporation
    Inventor: Sarah Brosnan
  • Patent number: 10573528
    Abstract: First lithography and etching are carried out on a semiconductor structure to provide a first intermediate semiconductor structure having a first set of surface features corresponding to a first portion of desired fin formation mandrels. Second lithography and etching are carried out on the first intermediate structure, using a second mask, to provide a second intermediate semiconductor structure having a second set of surface features corresponding to a second portion of the mandrels. The second set of surface features are unequally spaced from the first set of surface features and/or the features have different pitch. The fin formation mandrels are formed in the second intermediate semiconductor structure using the first and second sets of surface features; spacer material is deposited over the mandrels and is etched back to form a third intermediate semiconductor structure having a fin pattern. Etching is carried out on same to produce the fin pattern.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: February 25, 2020
    Assignee: Tessera, Inc.
    Inventors: Fee Li Lie, Dongbing Shao, Robert Wong, Yongan Xu
  • Patent number: 10569506
    Abstract: Devices, systems and techniques are described for producing and implementing articles and materials having nanoscale and microscale structures that exhibit superhydrophobic, superoleophobic or omniphobic surface properties and other enhanced properties. In one aspect, a surface nanostructure can be formed by adding a silicon-containing buffer layer such as silicon, silicon oxide or silicon nitride layer, followed by metal film deposition and heating to convert the metal film into balled-up, discrete islands to form an etch mask. The buffer layer can be etched using the etch mask to create an array of pillar structures underneath the etch mask, in which the pillar structures have a shape that includes cylinders, negatively tapered rods, or cones and are vertically aligned. In another aspect, a method of fabricating microscale or nanoscale polymer or metal structures on a substrate is made by photolithography and/or nano imprinting lithography.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: February 25, 2020
    Assignee: The Regents of the University of California
    Inventors: Sungho Jin, Chulmin Choi
  • Patent number: 10558169
    Abstract: A method for manufacturing a micromechanical timepiece part starting from a silicon-based substrate, including, forming pores on the surface of at least one part of a surface of said silicon-based substrate of a determined depth, entirely filling the pores with a material chosen from diamond, diamond-like carbon, silicon oxide, silicon nitride, ceramics, polymers and mixtures thereof, in order to form, in the pores, a layer of the material of a thickness at least equal to the depth of the pores. A micromechanical timepiece part including a silicon-based substrate which has, on the surface of at least one part of a surface of the silicon-based substrate, pores of a determined depth, the pores being filled entirely with a layer of a material chosen from diamond, diamond-like carbon, silicon oxide, silicon nitride, ceramics, polymers and mixtures thereof, of a thickness at least equal to the depth of the pores.
    Type: Grant
    Filed: August 9, 2016
    Date of Patent: February 11, 2020
    Assignee: Nivarox-FAR S.A.
    Inventor: Philippe Dubois
  • Patent number: 10543662
    Abstract: A method of making a device substrate article having a device modified substrate supported on a glass carrier substrate, including: treating at least a portion of the first surface of a device substrate, at least a portion of a first surface of a glass carrier, or a combination thereof, wherein the treating produces a surface having: silicon; oxygen; carbon; and fluorine amounts; and a metal to fluorine ratio as defined herein; contacting the treated surface with an untreated or like-treated counterpart device substrate or glass carrier substrate to form a laminate comprised of the device substrate bonded to the glass carrier substrate; modifying at least a portion of the non-bonded second surface of the device substrate of the laminate with at least one device surface modification treatment; and separating the device substrate having the device modified second surface from the glass carrier substrate.
    Type: Grant
    Filed: April 7, 2015
    Date of Patent: January 28, 2020
    Assignee: CORNING INCORPORATED
    Inventors: Robert Alan Bellman, Prantik Mazumder, Robert George Manley, Kaveh Adib
  • Patent number: 10539815
    Abstract: A method of forming an optical device includes forming a waveguide mask on a device precursor. The device precursor includes a waveguide positioned on a base. The method also includes forming a facet mask on the device precursor such that at least a portion of the waveguide mask is between the facet mask and the base. The method also includes removing a portion of the base while the facet mask protects a facet of the waveguide. The portion of the base that is removed can be removed such that a recess is defined in the base and/or a shelf is defined on the device precursor. A light source such as an optical fiber or laser can be received in the recess and/or positioned over the shelf such that the light source is optically aligned with the facet of the waveguide.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: January 21, 2020
    Assignee: Mellanox Technologies Silicon Photonics Inc.
    Inventors: Wei Qian, Monish Sharma
  • Patent number: 10522557
    Abstract: A wafer having a first region and a second region is provided. A first topography variation exists between the first region and the second region. A first layer is formed over the first region and over the second region of the wafer. The first layer is patterned. A patterned first layer causes a second topography variation to exist between the first region and the second region. The second topography variation is smoother than the first topography variation. A second layer is formed over the first region and the second region. At least a portion of the second layer is formed over the patterned first layer.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Chang Wu, Chihy-Yuan Cheng, Sz-Fan Chen, Shun-Shing Yang, Wei-Lin Chang, Ching-Sen Kuo, Feng-Jia Shiu, Chun-Chang Chen
  • Patent number: 10522429
    Abstract: A method of manufacturing a semiconductor device is provided. The method includes the following operations. (a) A substrate is patterned. (b) A polymer layer is formed on the patterned substrate. (c) The polymer layer is patterned. Steps (a), (b) and (c) are repeated alternatingly. An intensity of an emission light generated by a reaction of a plasma and a product produced in steps (a), (b) and (c) is detected. An endpoint in patterning the substrate is determined according to the intensity of the emission light generated by the reaction of the plasma and the product produced in only one step of steps (a), (b) and (c). A sampling rate of the intensity is ranged from 1 pt/20 ms to 1 pt/100 ms. A smooth function is used to process the intensity of the emission light generated by the reaction of the plasma and the product.
    Type: Grant
    Filed: April 1, 2016
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lee-Chuan Tseng, Chang-Ming Wu
  • Patent number: 10510549
    Abstract: A method of fabricating a metal layer includes performing a first re-sputtering to remove a metal compound formed on a conductive layer. The first re-sputtering includes bombarding the metal compound and a dielectric layer on the conductive layer by inert ions and metal atoms. Then, a barrier is formed on the dielectric layer and the conductive layer. Later, a bottom of the barrier is removed. Subsequently, a metal layer is formed to cover the barrier.
    Type: Grant
    Filed: December 25, 2017
    Date of Patent: December 17, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shouguo Zhang, Hai Tao Liu, Ming Hua Du, Yen-Chen Chen
  • Patent number: 10501867
    Abstract: A self-aligned tunable metamaterial is formed as a wire mesh. Self-aligned channel grids are formed in layers in a silicon substrate using deep trench formation and a high-temperature anneal. Vertical wells at the channels may also be etched. This may result in a three-dimensional mesh grid of metal and other material. In another embodiment, metallic beads are deposited at each intersection of the mesh grid, the grid is encased in a rigid medium, and the mesh grid is removed to form an artificial nanocrystal.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: December 10, 2019
    Inventors: Arturo A Ayon, Ramakrishna Kotha, Diana Strickland
  • Patent number: 10488753
    Abstract: A nanoimprint lithography method includes contacting a composite polymerizable coating formed from a pretreatment composition and an imprint resist with a nanoimprint lithography template defining recesses. The composite polymerizable coating is polymerized to yield a composite polymeric layer defining a pre-etch plurality of protrusions corresponding to the recesses of the nanoimprint lithography template. The nanoimprint lithography template is separated from the composite polymeric layer. At least one of the pre-etch plurality of protrusions corresponds to a boundary between two of the discrete portions of the imprint resist, and the pre-etch plurality of protrusions have a variation in pre-etch height of ±10% of a pre-etch average height. The pre-etch plurality of protrusions is etched to yield a post-etch plurality of protrusions having a variation in post-etch height of ±10% of a post-etch average height, and the pre-etch average height exceeds the post-etch average height.
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: November 26, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventors: Timothy Stachowiak, Weijun Liu, Fen Wan, Gary Doyle, Niyaz Khusnatdinov
  • Patent number: 10479083
    Abstract: Disclosed is a peeling method of a cover member including forming a recessed portion that opens one side surface of a substrate, on a region different from a region in which a pattern is formed and forming an opening region including the opening of the recessed portion; attaching the cover member so as to cover the one side surface; adjusting a pressure for increasing a pressure within a space formed by the recessed portion and the cover member by attaching the cover member to the substrate to be higher than a pressure on a side opposite to the space with the cover member interposed therebetween; and peeling off the cover member from the substrate, in a state where the pressure within the space is increased by the adjusting of the pressure.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: November 19, 2019
    Assignee: Seiko Epson Corporation
    Inventor: Tatsuro Hotta
  • Patent number: 10472274
    Abstract: Certain example embodiments relate to heating a ceramic paint applied to a portion of a coated article in order to at least partially “eat through” the underlying coating, with any remaining materials being removable by washing, and associated articles. In certain example embodiments, the coatings are multilayer sputter-deposited coatings formed on a glass or other substrate. The heat may be provided in connection with conventional heat treatment (e.g., thermal tempering) and/or heat bending processes that otherwise would be performed on the coated article.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: November 12, 2019
    Assignee: GUARDIAN EUROPE S.A.R.L.
    Inventors: Ralf Greiner, Mario Olbrich, Sabine Knolle, Uwe Kriltz