Patents Examined by Saleha R. Mohamedulla
  • Patent number: 6627365
    Abstract: A photomask, on which a transfer pattern is formed, for being irradiated with a predetermined exposure beam, and methods for making and using the photomask and an apparatus for practicing the methods, the mask having a pattern surface on which the pattern is formed and a substrate being transparent with respect to the exposure beam, having a predetermined thickness, and being disposed such that the substrate is separated from the pattern surface at a predetermined distance via a supporting member.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: September 30, 2003
    Assignee: Nikon Corporation
    Inventor: Naomasa Shiraishi
  • Patent number: 6620557
    Abstract: A main object of the present invention is to provide a photo-mask improved to ensure dimension with high accuracy. An actual pattern is provided on a substrate. A monitor mark for ensuring dimension of the actual pattern is also provided on the substrate. The monitor mark is provided with a coarse pattern and a high-density array pattern formed to have a density higher than the coarse pattern.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: September 16, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kunihiro Hosono, Masayoshi Mori
  • Patent number: 6620556
    Abstract: A mask for multiple exposure includes a plurality of periodic patterns in which a relation 0.5S<D<1.5S is satisfied, where S is the spacing between lines of a first periodic pattern of the plurality of periodic patterns and D is the spacing between the first periodic pattern and a second periodic pattern, of the plurality of periodic patterns, juxtaposed to the first periodic pattern with respect to the periodicity direction. The first periodic pattern and the second periodic pattern are free from overlapping or intersecting with each other.
    Type: Grant
    Filed: March 14, 2000
    Date of Patent: September 16, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yumiko Ohsaki
  • Patent number: 6620555
    Abstract: A pellicle that excellently transmits ultraviolet rays and, particularly, vacuum ultraviolet rays, does not lose the film thickness thereof that stems from the photolysis and, hence, exhibits excellent light resistance. The pellicle is obtained by using, as a material of pellicle film, an impurity-free organic polymer obtained by treating an organic polymer to remove at least a portion of at least any one of, preferably, a majority portion of trace amount-metal components, high molecular components and incomplete molecular structure components contained in the organic polymer. The invention further provides a method of preparing a pellicle.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: September 16, 2003
    Assignee: Mitsui Chemicals, Inc.
    Inventor: Shigeto Shigematsu
  • Patent number: 6605392
    Abstract: An X-ray mask structure for use in X-ray lithography includes an X-ray transmission film to be disposed opposed to a workpiece in X-ray exposure, the X-ray transmission film having an X-ray absorptive material corresponding to a pattern to be printed on the workpiece, and a thin film covering at least a portion of the X-ray transmission film, the thin film having an anti-reflection function with respect to alignment light to be projected to the thin film for direct or indirect detection of relative positional deviation between the mask structure and the workpiece.
    Type: Grant
    Filed: June 18, 1999
    Date of Patent: August 12, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takahiro Matsumoto, Keiko Chiba
  • Patent number: 6599665
    Abstract: A mask used to image circuit patterns onto a semiconductor wafer exhibits improved uniformity of critical feature dimensions. A pattern of dummy features is formed around the outer periphery of the main pattern during manufacture of the mask. The presence of the dummy field eliminates loading of the etch rate at the marginal areas of the main pattern, thereby assuring that all of the features in the main pattern field are etched at substantially the same rate. By using differing radiation dosages to expose the photoresist employed to form the main pattern and dummy patterns, a thickness of the photoresist remains over the dummy field pattern after development of the photoresist. This remaining photoresist has a thickness sufficient to prevent subsequent etching of the underlying metal which would otherwise leave features in the metal layer that would be imaged onto the wafer.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: July 29, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shy-Jay Lin, Sheng-Chi Chin
  • Patent number: 6596442
    Abstract: A technique is described, based on concepts of halftone printing, for controlling feature dimensions in a printed image at increments smaller than the smallest addressable unit of the template used to produce that image. Accordingly, photomasks may be fabricated to yield images with sizes differing from a nominal width by increments which are small fractions of the minimum template size or pixel size. A template fabricated according to this technique includes a feature having one or more edges, and a first array and a second array of shapes (protrusions or indentations) disposed on the edges. The first and second arrays have respective segmentation periods; the first and second segmentation periods are different. Each array is formed of a plurality of identical shapes repeating at every corresponding segmentation period, each shape having a predetermined length and a predetermined width.
    Type: Grant
    Filed: March 23, 2000
    Date of Patent: July 22, 2003
    Assignee: International Business Machines Corporation
    Inventors: Alfred K. Wong, Richard A. Ferguson, Lars W. Liebmann
  • Patent number: 6596465
    Abstract: A method of manufacturing a semiconductor component includes providing a semiconductor substrate (150) with a photoresist layer and providing a reflective lithographic mask (120, 200) having a radiation-absorptive composite layer (270) in a pattern over a radiation-reflective composite layer (220). A radiation sensitive layer is disposed over the semiconductor substrate, and an extreme ultra-violet light (110, 130) reflects a pattern off of the mask and onto the photoresist layer.
    Type: Grant
    Filed: October 8, 1999
    Date of Patent: July 22, 2003
    Assignee: Motorola, Inc.
    Inventors: Pawitter Jit Singh Mangat, James Richard Wasson, Scott Daniel Hector
  • Patent number: 6596469
    Abstract: A method of making a mask or an electronic part, for example a printed circuit, comprises the steps of delivering heat in a desired pattern to a precursor of the mask or electronic part, the precursor comprising a surface coated with a coating, the coating comprising a heat-sensitive composition itself comprising an aqueous developer soluble polymeric substance and a compound which reduces the aqueous developer solubility of the polymeric substance, wherein the aqueous developer solubility of the composition is not increased by incident UV radiation but is increased by the delivery of heat; then developing the precursor to remove the heat-sensitive composition in regions to which the heat was delivered. In the case of a printed circuit precursor the surface may be then etched in conventional manner to yield the required printed circuit.
    Type: Grant
    Filed: February 11, 2000
    Date of Patent: July 22, 2003
    Assignee: Kodak Polychrome Graphics, LLC
    Inventors: Christopher David McCullough, Kevin Barry Ray, Alan Stanley Victor Monk, John David Riches, Anthony Paul Kitson, Gareth Rhodri Parsons, David Stephen Riley, Peter Andrew Reath Bennett, Richard David Hoare
  • Patent number: 6593033
    Abstract: An embodiment of the instant invention is a mask having a pattern which is transferred to a layer overlying a semiconductor wafer, the mask comprising: a transmissive portion (structure 102 of FIG. 1), the transmissive portion allowing energy which impinges upon the transmission portion to substantially pass through the transmissive portion; a substantially non-transmissive portion (structure 106 of FIG. 1); a semi-transmissive portion (structure 104 of FIG. 1) situated between the transmissive portion and the substantially non-transmissive portion, energy passing through the semi-transmissive portion having a phase; and wherein the phase of energy which passes through the semi-transmissive portion is out of phase with the phase of energy which passes through the transmissive portion. Preferably, the phase of the energy which passes through the semi-transmissive portion is around 180 degrees out of phase with energy which passes through the transmissive portion.
    Type: Grant
    Filed: September 21, 1999
    Date of Patent: July 15, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiliu Ma, Anthony Yen, Cesar Garza
  • Patent number: 6593034
    Abstract: An improvement is provided in the photolithographic patterning of a photoresist layer by pattern-wise exposure to short-wavelength ultraviolet light through a pattern-bearing photomask which is dustproof protected by mounting a framed pellicle thereon. With an object to overcome the troubles therein due to absorption of short-wavelength ultraviolet light by oxygen and the interaction of atmospheric oxygen in the space surrounded by the photomask and the framed pellicle with the energy of the short-wavelength ultraviolet, the framed pellicle is provided in the frame with at least two gas-passage openings through which the air inside is replaced with nitrogen in conducting the ultraviolet exposure. The openings are preferably covered with a filter member and covered further with a covering member having a gas nozzle which is connected to a feed source of an inert gas such as nitrogen.
    Type: Grant
    Filed: November 7, 2000
    Date of Patent: July 15, 2003
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Toru Shirasaki
  • Patent number: 6586144
    Abstract: The present invention includes structures, lithographic mask forming solutions, mask forming methods, field emission display emitter mask forming methods, and methods of forming plural field emission display emitters. One aspect of the present invention provides a mask forming method including forming a masking layer over a surface of a substrate; screen printing plural masking particles over a surface of the masking layer; and removing at least portions of the masking layer using the masking particles as a mask. Another aspect of the present invention provides a method of forming plural field emission display emitters.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: July 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John Michiels, David Wells, Eric J. Knappenberger, James J. Alwan
  • Patent number: 6586159
    Abstract: A dust cover having a film with an inorganic, anti-reflective coating and method for use during semiconductor fabrication. The dust cover is primarily for use during photolithography. The dust cover may include an amorphous fluoropolymer film having an inorganic, anti-reflective coating attached to a frame. The inorganic, anti-reflective coating preferably has a refractive index below 1.4.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: July 1, 2003
    Assignee: DuPont Photomasks, Inc.
    Inventor: Joseph S. Gordon
  • Patent number: 6582857
    Abstract: The current invention performs short pulse laser ablation of clear defect regions on a mask prior to patching the clear defect regions. The short-pulse laser ablation removes any residue that absorbs light. Thus, the ablation completely cleans the surface of the clear defect regions, meaning that any patches of the surface will better adhere to the surface of the mask. This is particularly important during those situations where a later etch of a conductive surface added to the mask creates a solvent because the etchant interacts with residue on the mask, and wherein the solvent attacks the patch material at the patch material's interface.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: June 24, 2003
    Assignee: International Business Machines Corporation
    Inventors: Philip S. Flanigan, Dennis M. Hayden, Michael S. Hibbs, Timothy E. Neary
  • Patent number: 6582859
    Abstract: A dust cover having a film with an inorganic, anti-reflective coating and method for use during semiconductor fabrication. The dust cover is primarily for use during photolithography. The dust cover may include an amorphous fluoropolymer film having an inorganic, anti-reflective coating attached to a frame. The inorganic, anti-reflective coating preferably has a refractive index below 1.4.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: June 24, 2003
    Assignee: DuPont Photomasks, Inc.
    Inventor: Joseph S. Gordon
  • Patent number: 6582856
    Abstract: A new method of fabricating a rim phase shifting mask is achieved. An opaque layer is provided overlying a transparent substrate. A resist layer is deposited overlying the opaque layer. The resist layer is patterned. The opaque layer and the transparent substrate are etched. The resist layer masks this etching. The opaque layer is etched through during this etching. Notches are thereby etched into the transparent substrate at the edges of the opaque layer. These notches will cause a phase shift in incident light relative to incident light passing through regions in the transparent substrate adjacent to the notches. During this etching, an overetch is performed to remove any mask defects in the transparent substrate. Optionally, the notches may be etched into a phase shifting layer overlying the transparent substrate. An etch stopping layer may also be used in the phase shifting layer embodiment.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: June 24, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Shyue Fong Quek, Ting Cheong Ang, Jun Song, Sang Yee Loong
  • Patent number: 6576374
    Abstract: A mask blank includes a transparent substrate, a light shield layer formed on the upper surface of the transparent substrate, and a multi-functional protective layer formed on the light shield layer. To make a phase shift mask from the blank, the protective layer is patterned, and the light shield layer is etched using the protective layer pattern as an etch mask. The phase shift region is formed by etching a groove in the second region of the substrate while the protective layer pattern protects the light shield layer. Therefore, undesirable residue is prevented from forming at the bottom of the groove constituting the phase shift region. The method also entails patterning a photosensitive layer on the protective layer, and patterning the protective layer by using the patterned photosensitve layer as a mask. In this case, the structure is cleaned so that no residue remains on the exposed portions of the light shield layer.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: June 10, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yong-hoon Kim
  • Patent number: 6576384
    Abstract: A dynamic mask exposure method and system includes a support for a workpiece, a source of a beam of exposure radiation, and a transmissive dynamic mask with orthogonally arranged matrices of actuator lines and binary pixel units which are opaque or transparent as a function of control inputs to the actuator lines, the transmissive dynamic mask having a top surface and a bottom surface. A control system is connected to supply pixel control signals to the actuator lines of the transmissive dynamic mask to form a scanning pattern of transparent regions and opaque regions which scans across the dynamic mask. The beam is directed down onto the top surface of the mask and through a slit in a diaphragm onto the workpiece. The beam passes through the transparent regions and projects a pattern from the mask onto the support where the workpiece.
    Type: Grant
    Filed: October 19, 1998
    Date of Patent: June 10, 2003
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: John Chin-Hsiang Lin
  • Patent number: 6576375
    Abstract: A photomask comprises a transparent substrate, a anti-reflection structure having a chromium oxide film, a chromium film and a chromium oxide film laminated in order on the major surface of the transparent substrate, an LiF film as a anti-reflection film formed on the surface of the first chromium oxide and at the interface between the chromium oxide film and the transparent substrate, and a spin-on-glass film formed on the surface of the chromium oxide film.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: June 10, 2003
    Assignees: Kabushiki Kaisha Toshiba, Fujitsu Limited
    Inventors: Seiro Miyoshi, Tsukasa Azuma, Hideyuki Kanemitsu
  • Patent number: 6569605
    Abstract: A photomask including chromium patterns divided into two groups in such a fashion that the chromium patterns in one of the two chromium pattern groups alternate, one by one, with the chromium patterns in the other chromium pattern group, the chromium patterns being formed on two quartz substrate for the two chromium pattern groups, respectively, to prepare for the photomask, two separate photomasks each having an increased space defined between adjacent chromium patterns thereof so as to avoid a severe diffraction of light passing between the adjacent chromium patterns. A method for forming micro patterns of a semiconductor device using the photomask is also disclosed.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: May 27, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang Man Bae