Patents Examined by Saleha R. Mohamedulla
  • Patent number: 6806036
    Abstract: A method for manufacturing a polysilicon type thin film transistor comprises the steps of forming a polysilicon layer on a substrate, forming a gate insulating layer on the polysilicon layer, forming a gate layer on the gate insulating layer, forming a gate pattern by patterning, implanting impurities in the substrate over which the gate pattern is formed, forming a cover layer over the substrate in which impurities are implanted, and thermally annealing the substrate over which the cover layer is formed. In the invention, the thermal annealing is carried out instead of a costly laser annealing after the impurity implantation.
    Type: Grant
    Filed: July 18, 2001
    Date of Patent: October 19, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chun-Gi You
  • Patent number: 6806007
    Abstract: A lithography mask or reticle and method of making the same is disclosed wherein the fidelity of pattern transfers is enhanced by way of a reduction in the opportunity for contaminating particles to become wedged between the mask and a chuck upon which the mask may rest during semiconductor processing via electrostatic chucking, and also by facilitating heat dissipation within the mask via thermal conductance to mitigate warping of the mask. One or more thermally conductive pads formed within one or more layers applied to the mask facilitate the thermal conductance, and spaces or apertures formed within the layers reduce the potential for particle contamination.
    Type: Grant
    Filed: May 2, 2003
    Date of Patent: October 19, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amr Yehia Abdo, Bruno LaFontaine
  • Patent number: 6803158
    Abstract: A photomask and a method for forming an opaque border on the same are disclosed. In an example method of manufacturing a photomask, no more than one patterning operation is used to form a mask field with an opaque border substantially surrounding the mask field. The border region may be substantially covered by an opaque material, and features in the mask field may be free from the opaque material. When the photomask is used to expose a pattern on an object, the opaque border may substantially prevent electromagnetic radiation (EMR) from exposing portions of the object outside the field of exposure associated with the mask field. The operation of forming the mask field may include forming an insulating clear region surrounding the features and leaving the border region outside the insulating clear region. The opaque layer may be deposited by electroplating or spraying an opaque material onto the border region.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: October 12, 2004
    Assignee: DuPont Photomasks, Inc.
    Inventors: Joseph Stephen Gordon, Gregory P. Hughes, Franklin Dean Kalk, Hakki Ufuk Alpay
  • Patent number: 6803159
    Abstract: The present invention describes an apparatus comprising a mask; a pellicle spacer, the pellicle spacer attached to the mask; and an electrostatic pellicle system, the electrostatic pellicle system attached to the pellicle spacer. The present invention further describes a method of keeping contaminants away from a vicinity of a mask during exposure, the contaminants including an uncharged or neutral particle, a positively-charged particle, or a negatively-charged particle, comprising: inducing a positive or negative charge on the uncharged or neutral particle; attracting the positively-charged particle with a negatively-charged electric field; and attracting the negatively-charged particle with a positively-charged electric field.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: October 12, 2004
    Assignee: Intel Corporation
    Inventor: Dan Enloe
  • Patent number: 6803157
    Abstract: A semiconductor pattern mask that might otherwise exhibit three-fold symmetry, which could give rise to distorted semiconductor features in the presence of three-leaf aberration in the optical system used to expose a semiconductor wafer through the mask, is altered to break up the three-fold symmetry without altering the semiconductor features that are formed. This accomplished by adding features to the mask that break up the symmetry. One way of achieving that result is to make the added features of “sub-resolution” size that do not produce features on the exposed wafer. Another way of achieving that result is to change existing features that do form structures in such a way (e.g., with optical elements) that changes the relative phase, amplitude or other characteristic of light transmitted through those features.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: October 12, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Pary Baluswamy, William A. Stanton, William J. Baggenstoss
  • Patent number: 6803160
    Abstract: A multi-tone photomask and method for manufacturing the same are disclosed. A photomask includes a filter layer formed on at least a portion of a substrate. The filter layer includes a first pattern formed by a first etch process. A barrier layer including the first pattern is formed on at least a portion of the filter layer by a second etch process. An absorber layer including a second pattern is formed on at least a portion of the barrier layer by a third etch process. The barrier layer further acts as an etch stop for the third etch process.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: October 12, 2004
    Assignee: DuPont Photomasks, Inc.
    Inventors: Eric V. Johnstone, Franklin D. Kalk
  • Patent number: 6803156
    Abstract: A photomask (8) protected against electrostatic damage and a method of manufacturing such a photomask is disclosed. The photomask (8) comprises a transparent substrate (10) on which is deposited an opaque pattern such as lines (12), (14), (16) and (18). A transparent conductive film (30) is deposited over the substrate (10) and pattern such that the various portions of the pattern (lines (12), (14), (16) and (18)) are all maintained at the same electrical potential thereby preventing damage due to an electrostatic discharge.
    Type: Grant
    Filed: August 1, 2001
    Date of Patent: October 12, 2004
    Assignee: Infineon Technologies Richmond, LP
    Inventor: Shahzad Akbar
  • Patent number: 6803161
    Abstract: An improvement is provided in the photolithographic patterning of a photoresist layer by pattern-wise exposure to short-wavelength ultraviolet light through a pattern-bearing photomask which is dustproof protected by mounting a framed pellicle thereon. With an object to overcome the troubles therein due to absorption of short-wavelength ultraviolet light by oxygen and the interaction of atmospheric oxygen in the space surrounded by the photomask and the framed pellicle with the energy of the short-wavelength ultraviolet, the framed pellicle is provided in the frame with at least two gas-passage openings through which the air inside is replaced with nitrogen in conducting the ultraviolet exposure. The openings are preferably covered with a filter member and covered further with a covering member having a gas nozzle which is connected to a feed source of an inert gas such as nitrogen.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: October 12, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Toru Shirasaki
  • Patent number: 6803155
    Abstract: A method of formulating and fabricating a mask pattern and resulting mask for forming isolated or closely spaced contact holes in an integrated circuit. The mask has a transparent mask substrate and patterned regions of attenuating phase shift material and opaque, partially transmissive or transparent material arranged to reduce the effect of side lobes and improve depth of focus. The rims, frames and outrigger patterns for the attenuating phase shift material and opaque, partially transmissive or transparent material are determined according to calculations performed on a processor with imaging software for various optical conditions and exposed feature criteria.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: October 12, 2004
    Assignee: Micron Technology, Inc.
    Inventors: H. Daniel Dulman, William A. Stanton
  • Patent number: 6800402
    Abstract: A phase-shifting mask suppresses increase of the minimum pattern-element size due to optical proximity effect. The mask has a first pattern region formed on a transparent substrate, including a first blocking part for forming at least one first pattern element. The mask further includes a second pattern region on the substrate, including second blocking parts forming second pattern elements arranged periodically. The first pattern region includes first phase-shifting and transparent parts. The second pattern region includes second phase-shifting and transparent parts. The intensity of exposing light through the first pattern region is set to be approximately equal to that of the light through the second pattern region. A third blocking part surrounds the first phase-shifting and transparent parts. A fourth blocking part surrounds the second phase-shifting and transparent parts.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: October 5, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Masashi Fujimoto
  • Patent number: 6753131
    Abstract: A contact-mode photolithography phase mask includes a diffracting surface having a plurality of indentations and protrusions. The protrusions are brought into contact with a surface of positive photoresist, and the surface exposed to electromagnetic radiation through the phase mask. The phase shift due to radiation passing through the indentations as opposed to the protrusions is essentially complete. Minima in intensity of electromagnetic radiation are thereby produced at boundaries between the indentations and protrusions. The elastomeric mask conforms well to the surface of photoresist and, following development, features smaller than 100 nm can be obtained. Patterns including curved portions are obtained, as well as curved and/or linear patterns on non-planar surfaces. An elastomeric transparent diffraction grating serves also as a spatial light modulator photothermal detector, strain gauge, and display device. A technique for simplified photolithography is also described.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: June 22, 2004
    Assignee: President and Fellows of Harvard College
    Inventors: John A. Rogers, Rebecca J. Jackman, Kateri E. Paul, Olivier J. A. Schueller, Tricia Lynn Breen, George M. Whitesides
  • Patent number: 6749974
    Abstract: A method for creating a photomask which includes a layer of hard mask material the inclusion of which improves the uniformity of critical dimensions on the photomask by minimizing the affect of macro and micro loading. The method for producing the photomask of the instant invention includes two etching processes. The first etching process etches the layer of hard mask, and the second etching process etches the anti-reflective material and opaque material.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: June 15, 2004
    Assignee: Photronics, Inc.
    Inventor: David Y. Chan
  • Patent number: 6746805
    Abstract: A method for forming a mask assembly for use in lithography, typically electron-beam lithography, first forms in a substrate one half of a plurality of opening therethrough and then fills the openings with a removable fill material. Thereafter are formed the other half of the openings which are then filled with the removable fill material. After all the openings have been formed and filled, a support membrane is formed over the substrate and covers the filled windows. A mask layer is then formed over the membrane and patterned. The fill is then removed from all of the windows.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: June 8, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Cheng Guo, Stephen Moffatt
  • Patent number: 6743571
    Abstract: A mask for use in a process for curing a photosensitive material. The mask comprises a structure having a top side and a bottom side opposite to the top side, and a pattern of transparent regions and opaque regions, wherein the opaque regions comprise at least first opaque regions having a first opacity and second opaque regions having a second opacity different from the first opacity. The opaque regions can comprise a substantially continuous pattern, a substantially semi-continuous pattern, a pattern formed by a plurality of discrete areas, or any combination thereof. The opaque regions can comprise a gradient opacity that gradually changes in at least one direction. The mask can have a three-dimensional topography comprising, for example, a pattern of protrusions extending from the bottom side of the mask and/or the top side of the mask. The protrusions can form a substantially continuous pattern, a substantially semi-continuous pattern, a discrete pattern, or any combination thereof.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: June 1, 2004
    Assignee: The Procter & Gamble Company
    Inventors: Michael Douglas Hill, Paul Dennis Trokhan, Larry Leroy Huston
  • Patent number: 6737200
    Abstract: A method for fabricating a mask which includes a printable contact and/or line area which is aligned with a phase-shifter. The method includes preparing a mask-in-process comprising a substrate underlying a first layer, an opaque layer overlying the first layer, and a first resist material overlying the opaque layer, and subjecting the mask-in-process to a plurality of exposures and at least one etching to create a phase-shifter and to open a printable contact and/or line area surrounded by a second resist material, wherein the printable contact and/or line area is aligned with the phase-shifter.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: May 18, 2004
    Assignee: Micron Technology, Inc.
    Inventors: H. Daniel Dulman, William A. Stanton
  • Patent number: 6733929
    Abstract: Techniques are provided for extending the use of phase shift techniques to implementation of masks used for complex layouts in the layers of integrated circuits, beyond selected critical dimension features. The method includes identifying features for which phase shifting can be applied, automatically mapping the phase shifting regions for implementation of such features, resolving phase conflicts which might occur according to a given design rule, and application of assist features and proximity correction features. The method includes applying an adjustment to a phase shift mask pattern including a first and a second phase shift window, and a control chrome with a control width, and/or to a trim mask pattern having a trim shape with a trim width based upon one or both of a rule based correction and a model based correction to improve a match between a resulting exposure pattern and a target feature.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: May 11, 2004
    Assignee: Numerical Technologies, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 6709793
    Abstract: A method (100) to manufacture semiconductor reticles associated with a design uses an optical pattern correction (OPC) test pattern (104) in a first reticle frame and having subresolution features that will not resolve or appear on a resulting wafer. A first reticle is made (106) and critical parameters are extracted from the first reticle (108). The critical parameters are used to execute an OPC model (112) to generate a modified design. A production reticle is made from the modified design. The OPC test pattern is placed in a second reticle frame and a second reticle is manufactured. Critical parameters from the second reticle are compared with the critical parameters from the first reticle and must be within a predetermined tolerance or the reticle build process is modified until the tolerance is reached.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: March 23, 2004
    Assignee: Motorola, Inc.
    Inventors: Keith Brankner, Charles F. King, Lloyd C. Litt
  • Patent number: 6696205
    Abstract: A thin transition-metal based scattering layer of a mask blank for use in EPL systems is formed by providing the thin transition-metal scattering layer directly over membrane layers on a lot of substrates, thereby forming a continuous contact between the single transition metal-based scattering layer and the membrane layer. Preferably, the single transition metal-based scattering layer is a single tantalum-silicon composite scattering layer having a stoichiometry of TaxSi. The deposition parameters for depositing the thin transition-metal based scattering layer are adjusted to provide the scattering layer uniformly over all substrates within the lot. A first substrate from the lot of substrates is then selected, an initial stress measurement of the scattering layer is determined and then the substrate is annealed at a first temperature.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: February 24, 2004
    Assignee: International Business Machines Corporation
    Inventors: Cameron J. Brooks, Kenneth C. Racette
  • Patent number: 6692876
    Abstract: The invention includes a method of patterning radiation. The radiation is simultaneously passed through a structure and at least one subresolution assist feature proximate the structure. The structure defines a pattern of radiation intensity. The at least one subresolution assist feature comprises a material that is transmissive of at least a portion of the radiation. The subresolution assist feature alters the pattern of radiation intensity defined by the structure relative to a pattern of radiation intensity that would be defined in the absence of the subresolution assist feature. The invention also includes another method of patterning radiation. The radiation is simultaneously passed through a first material structure and at least one second material subresolution assist feature proximate the first material structure. The second material is different than the first material.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: February 17, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Bill Baggenstoss
  • Patent number: 6689541
    Abstract: In a process for forming a photoresist mask, a photoresist layer is applied to a substrate. A silyated layer is formed in the photoresist layer. The features of the silyated area correspond to the features of a photoresist mask to be formed. The photoresist layer is then etched to form a photoresist base beneath the silyated area. The photoresist base is etched to remove material from its sides such that it becomes narrower than the silyated area. The silyated area is then removed, leaving a photoresist mask on the substrate.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott A. Bell, Todd P. Lukanc, Christopher F. Lyons, Marina V. Plat, Ramkumar Subramanian