Patents Examined by Saleha R. Mohamedulla
  • Patent number: 6569576
    Abstract: A reticle and pellicle that are modified to prevent ESD damage to the masking material between portions of the lithographic mask pattern on the reticle during an integrated circuit fabrication process. The modification involves providing conducting lines on the glass side of the reticle and on the surface of the pellicle to balance any buildup of electrostatic charges on those devices, thereby reducing or eliminating the induction of opposite charges onto adjacent mask pattern features on the reticle and preventing the melting and bridging of those mask pattern features and the defects caused by such melting or bridging. The conductive metal lines may have a smaller width than the smallest resolution value of the reduction lens used in the mask pattern transfer process, and may also be located outside of the focal plane of the reduction lens to avoid transfer of the images of the conductive lines onto the target semiconductor substrate during the mask pattern transfer process.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: May 27, 2003
    Assignee: Xilinx, Inc.
    Inventors: Shih-Cheng Hsueh, Kevin T. Look, Jonathan Jung-Ching Ho
  • Patent number: 6569574
    Abstract: The invention includes a method of patterning radiation. The radiation is simultaneously passed through a structure and at least one subresolution assist feature proximate the structure. The structure defines a pattern of radiation intensity. The at least one subresolution assist feature comprises a material that is transmissive of at least a portion of the radiation. The subresolution assist feature alters the pattern of radiation intensity defined by the structure relative to a pattern of radiation intensity that would be defined in the absence of the subresolution assist feature. The invention also includes another method of patterning radiation. The radiation is simultaneously passed through a first material structure and at least one second material subresolution assist feature proximate the first material structure. The second material is different than the first material.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: May 27, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Bill Baggenstoss
  • Patent number: 6566016
    Abstract: The present invention provides an apparatus and a method for compensating critical dimension deviations across a photomask. In this method, a photomask is partitioned into a plurality of regions. A critical dimension is then measured for each of the regions in the photomask. Based on the measured critical dimensions, a deviation map is generated to map deviation of the critical dimension from a target dimension for each of the regions in the photomask. From the deviation map, an amount of actinic radiation needed to be attenuated to compensate for the critical dimension deviation from the target dimension is determined for each of the regions of the photomask. Based on the determined attenuation amount of actinic radiation, the transmission of the actinic radiation through each of the regions in the photomask is attenuated such that the critical dimension deviation is compensated to the target dimension for each of the regions in the photomask.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: May 20, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: David H. Ziger
  • Patent number: 6566017
    Abstract: A mask used to image circuit patterns onto a semiconductor wafer exhibits improved uniformity of critical feature dimensions. A pattern of dummy features is formed around the outer periphery of the main pattern field during manufacture of the mask. The presence of the dummy field eliminates loading of the etch rate at the marginal areas of the main pattern field, thereby assuring that all of the features in the main pattern field are etched at substantially the same rate. The dummy field pattern is covered by an opaque layer of material after etching of the mask in order to prevent the dummy features from being imaged onto the wafer.
    Type: Grant
    Filed: August 14, 2000
    Date of Patent: May 20, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Jeng-Horng Chen, Shi-Jay Lin
  • Patent number: 6562549
    Abstract: A method for adjusting out of tolerance critical dimensions of an under processed photomask to be within predetermined defined limits after the photosensistive resist material has been removed from the exposed photomask. The method includes measuring the critical dimensions of the opaque material of the under processed photomask after the photosensitive resist material has been removed, and exposing the photomask to electrified plasma gases for removing excess opaque material without degrading the reflectivity of the photomask beyond specified limits.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: May 13, 2003
    Assignee: Photronics, Inc.
    Inventors: Rick Zemen, Tiecheng Zhou
  • Patent number: 6562522
    Abstract: An attenuated phase-shifting photomask (APSM) provides adjustment of attenuation from mask to mask. The APSM includes a multilayer substrate, a buffer thin film coupled to the substrate, and a top layer thin film on top of the buffer thin film. The thin films are etched with a circuit pattern to form a photomask, and are chosen to have certain thicknesses which would provide adjustment of attenuation within a specified attenuation operating range and appropriate phase shift without changing said buffer thin film and said top layer thin film.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: May 13, 2003
    Assignee: Intel Corporation
    Inventor: Pei-Yang Yan
  • Patent number: 6562521
    Abstract: A photomask includes a transparent substrate, a line patterning feature having ends formed on the transparent substrate, and an island patterning feature adjacent at least one of the ends of the line patterning feature. A method for fabricating a feature on a wafer includes providing a photomask. The photomask includes a transparent substrate, a line patterning feature having ends formed on the transparent substrate, and an island patterning feature adjacent at least one of the ends of the line patterning feature. A radiation source adapted to supply incident radiation is provided, and a wafer is exposed with the incident radiation through the photomask.
    Type: Grant
    Filed: June 25, 1999
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: John L. Nistler, Stuart E. Brown
  • Patent number: 6558853
    Abstract: An exposure mask is used for transcribing a desired pattern on a resist on a wafer in a photolithography step. This exposure mask is formed by the arrangement of transcribed pattern film formed in a desired pattern on the transparent substrate. In order to decrease background light, a dummy pattern film formed in a dummy pattern is arranged on the transparent substrate together with the transcribed pattern film. The dummy pattern is designed in such a manner that the dummy pattern is not transcribed on the resist under the exposure condition required for transcribing the desired pattern on the resist in a desired size. Besides, the dummy pattern film is arranged from the transcribed pattern film in a predetermined distance so that the intensity distribution of light which passes through the transcribed pattern film.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: May 6, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Daisuke Kawamura
  • Patent number: 6555273
    Abstract: In a glass substrate for an electron device which is selected based upon a predetermined reference set value using a method for detecting a defect for the glass substrate in accordance with optical change of an inspecting light beam, the defect for the glass substrate has no dependency for a moving direction of the inspecting light beam.
    Type: Grant
    Filed: December 24, 1998
    Date of Patent: April 29, 2003
    Assignee: Hoya Corporation
    Inventor: Masaru Tanabe
  • Patent number: 6544721
    Abstract: A method or an apparatus for exposing a resist or a substrate in manufacturing a semiconductor device or the like by using phase shifting masks is arranged to make a multiple exposure to obtain a desired circuit pattern on the substrate. For this purpose, first and second masks are arranged to have phase shift areas formed in the desired pattern in such a way as to give respective different phase shifting effects.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: April 8, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kenji Saitoh
  • Patent number: 6537706
    Abstract: A method for making a photolithographic mask. The method comprises forming a film on a substrate that deforms the substrate, and applying a deformation reducing agent to the substrate to reduce the amount of deformation that the film caused. In a preferred embodiment, the deformation reducing agent comprises one or more films, which are formed on one side of the substrate, that balance the substrate deformation effect of one or more films that are deposited on the other side of the substrate. The film or films that constitute the deformation reducing agent may be similar to, or different from, an absorption film and/or any other films deposited on the substrate or on the absorption film.
    Type: Grant
    Filed: March 14, 2000
    Date of Patent: March 25, 2003
    Assignee: Intel Corporation
    Inventors: Qing Ma, Jin Lee, Jun Fei Zheng, Giang Dao
  • Patent number: 6537707
    Abstract: A method of fabricating a high energy radiation mask, such as a laser ablation mask for manufacturing inkjet printheads, includes a multi-stage evacuation process and/or a step of reducing the deposition rate of silicon dioxide during formation of a dielectric stack. When the multi-stage evacuation procedure is combined with the slower deposition rate of silicon dioxide, the resulting mask has a surprisingly low defect density. In the first embodiment, the evacuation procedure is initiated using a low-rate first evacuation connection. The relatively slow purging of a vacuum chamber in which the dielectric stack is subsequently formed controls turbulence and environmental changes that can generate contamination and water along the surface of the substrate on which the dielectric stack is formed. When a pressure setpoint is reached, a second roughing connection is activated to increase the speed of the procedure. The second connection has a higher maximum rate than the first connection.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: March 25, 2003
    Assignee: Agilent Technologies, Inc.
    Inventor: Lawrence Hakchu Lee
  • Patent number: 6537728
    Abstract: The present invention includes structures, lithographic mask forming solutions, mask forming methods, field emission display emitter mask forming methods, and methods of forming plural field emission display emitters. One aspect of the present invention provides a mask forming method including forming a masking layer over a surface of a substrate; screen printing plural masking particles over a surface of the masking layer; and removing at least portions of the masking layer using the masking particles as a mask. Another aspect of the present invention provides a method of forming plural field emission display emitters.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: March 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John Michiels, David Wells, Eric J. Knappenberger, James J. Alwan
  • Patent number: 6534222
    Abstract: Reticles and reticle blanks are disclosed, especially for charged-particle-beam microlithography, that include a peripheral frame and a support frame bonded to each other in a manner that substantially reduces distortion at the bonds as well as membrane distortion. The peripheral frame is bonded peripherally to the support frame in any of various manners including an anodic weld of glass and silicon (the glass containing mobile ions) and a eutectic metal silicon bond wherein the metal can be, e.g., gold, aluminum, germanium, or tin. The glass-silicon and eutectic bonds in which the metal is, e.g., gold, are also resistant to chlorine gas used for routine cleaning of the reticle. In the case of the eutectic metal bond, an intervening layer of chrome or nichrome can be applied between the metal layer and the bonding surface to enhance bonding.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: March 18, 2003
    Assignee: Nikon Corporation
    Inventor: Yoshihiko Suzuki
  • Patent number: 6534221
    Abstract: A method for fabricating a mask for patterning a radiation sensitive layer in a lithographic printer is disclosed. An attenuating (absorptive or reflective) layer is coated over a substantially transparent base substrate such that after processing a two-dimensional spatially varying attenuating pattern is created with a continuously or discretely varying transmission or reflection function. In accordance with the present invention the two-dimensional attenuating pattern is formed by e-beam patterning of radiation sensitive layer to create a three-dimensional surface relief pattern. This pattern is transferred to the attenuating layer by an anisotropic etch, typically a directional reactive plasma etch. The attenuation of this radiation absorbing or reflecting layer varies with layer thickness. In one embodiment of this invention the attenuation of the mask would vary spatially in a continuous manner.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: March 18, 2003
    Assignee: Gray Scale Technologies, Inc.
    Inventors: Sing H. Lee, Michael S. Jin, Miles L. Scott
  • Patent number: 6534244
    Abstract: A phase-shifting lithographic mask, a method for its fabrication, and a method for its use in forming field-emission display emitters is described. The mask is made from a plate and has field and pattern regions that both transmit light of a given wavelength. The pattern region is a plurality of regularly spaced etched regions of the plate, with the optical path length of the pattern region differing from the optical path length of the field region by an odd integer multiple of one-half the light wavelength. Use of phase-shifting lithography improves depth-of-focus, and correspondingly relaxes planarity requirements. The pattern region of the mask is sized to expose a photoresist layer used in fabricating field-emission display emitters in just a single light exposure, thereby avoiding the disadvantages associated with conventional dual pass phase-shifting lithography.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: March 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: David A. Zimlich, David H. Wells
  • Patent number: 6534223
    Abstract: A light shielding layer is formed over a light transmissive substrate. The light shielding layer is patterned to have at least a portion having a first series of openings which alternate with a second series of openings. One of the first series of openings or the second series of openings is effectively masked while leaving the other of the first series of openings or the second series of openings effectively unmasked. While the one openings are effectively masked and the other openings are effectively unmasked, a species is ion implanted into the light transmissive substrate through the other openings. The implanted species effectively increases a wet etch rate of the light transmissive substrate in a wet etch chemistry compared to light transmissive substrate which is not effectively implanted with the species.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: March 18, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Baorui Yang
  • Patent number: 6531249
    Abstract: Reticle blanks are disclosed for use in making reticles for charged-particle-beam microlithography. The reticle blanks include support struts formed by dry-etching intervening exposed regions of a silicon support substrate. The windows are defined by a dry-etching mask and are destined to become subfields of a reticle made from the reticle blank. The subfields of the reticle blank include patternable subfields and non-patternable subfields (dummy etching fields). The patternable subfields are destined to define respective portions of a reticle pattern, whereas the dummy subfields are not. The dummy etching fields are those that have experienced a dry-etching rate greater than a threshold value of 1.05-times the dry-etching rate of the patternable subfields. The reticle blanks also include support struts supporting a membrane (defining the subfields) and a peripheral frame connected to the support struts. The dummy etching fields are located peripherally to the patternable subfields.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: March 11, 2003
    Assignee: Nikon Corporation
    Inventor: Norihiro Katakura
  • Patent number: 6524751
    Abstract: The present invention provides a method and apparatus for producing 0 degree light and 180 degree phase shifted light having substantially equal intensities as both lights exit an alternating phase shift reticle. A material is inserted within the etched portion of the 180 degree phase shift channel of a reticle, wherein the material contains an index of refraction such that the first order light (+1, −1) is propagated through the 180 degree channel. The end result is a 180 degree phase shifted light having an intensity substantially equal to that of the 0 degree light.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: William A. Stanton, Vishnu K. Agarwal
  • Patent number: 6521383
    Abstract: A method of preparing an x-ray mask comprising providing a substrate, and applying sequentially to a surface of the substrate i) an etch stop layer resistant to etchant for an x-ray absorber, and ii) an x-ray absorber layer. The method then includes removing a portion of the substrate below the layers to create an active region of the substrate above the removed portion of the substrate and an inactive region over remaining portions of the substrate, applying a resist layer above the absorber layer, and exposing a portion of the resist layer using electron beam irradiation and developing the resist layer to form a latent mask image over the active region of the substrate.
    Type: Grant
    Filed: October 17, 2001
    Date of Patent: February 18, 2003
    Assignee: International Business Machines Corporation
    Inventors: Maheswaran Surendra, Douglas E. Benoit, Cameron J. Brooks