Patents Examined by Vincent P. Barth
  • Patent number: 6882414
    Abstract: The system has a single pulse spectrum capability for sensing the presence of contamination on a surface to be interrogated. The system includes a narrow frequency bandwidth visible pulse and broadband infrared pulse that are directed to the surface. An output wavelength discriminator receives the reflected sum-frequency that is generated. The output wavelength discriminator is substantially non-transmissive at the frequencies of the visible pulse and the infrared pulse, but is substantially transmissive at the sum-frequency of the visible pulse and the infrared pulse. The output of the wavelength discriminator is a broadband output. A frequency disperser receives the output of the wavelength discriminator and provides a physical separation of output wavelengths of the broadband output. A multi-channel analyzer analyzes the intensity of the physically separated output wavelengths as a function of their physical positions.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: April 19, 2005
    Assignee: The Boeing Company
    Inventor: Jeffrey H. Hunt
  • Patent number: 6882417
    Abstract: System for scanning a surface, including a light source producing an illuminating light beam; an objective lens assembly, located between the light source and the surface; at least one light detector; an apodizator located between the light source and the objective lens assembly; and a relay lens assembly located between the apodizator and the objective lens assembly, wherein the light source produces an image of the illuminating light beam on the apodizator, the apodizator blocks at least a portion of the illuminating light beam, the relay lens assembly images the blocked illuminating light beam at an entrance pupil of the objective lens assembly, and wherein at least one of said at least one light detector, detects light reflected from said surface.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: April 19, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Boris Goldberg, Ron Naftali
  • Patent number: 6879392
    Abstract: In a defect inspecting apparatus, having contrast, brightness and appearance of a target for inspection and detection sensitivity of a defect changed depending on optical system conditions, and adapted to perform inspection by selecting an optimal test condition, even an unskilled user can easily select an optimal optical condition by quantitatively displaying evaluation values side by side when optical system conditions are changed. Moreover, by selecting an evaluation item having highest satisfaction based on a result of a series of test inspection, an optimal test condition can be automatically selected.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: April 12, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kaoru Sakai, Shunji Maeda, Takafumi Okabe, Masahiro Watanabe
  • Patent number: 6873412
    Abstract: The invention relates to a portable device for carrying out examinations of turbid media using a three-dimensional cross-correlation technique and for suppressing the influence of multiple scattering, as well as to an adjustment method for adjusting the device. The device has a base plate (1) upon which an adjustable laser (2) is positioned in tilting devices or by means of mirrors for directing the laser beam perpendicularly onto the wall of a cuvette (6) filled with a medium to be examined. The device is provided with a translucent plate (7) which in some sections is completely mirror-coated and in other sections is partially mirror-coated and which serves as a beam splitter (4). The plate is firmly secured to the base plate (1) using a positioning fixture (8) whose support surface (16) for the translucent plate (7) is situated at a fixed angle with respect to the base plate (1).
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: March 29, 2005
    Assignee: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung e.V.
    Inventors: Lisa Birgit Aberle, Wilfried Straude, Malte Kleemeier, Jürgen Loschen
  • Patent number: 6867862
    Abstract: A metrology system for characterizing three-dimensional structures and methods for manufacturing and using same. The metrology system includes a measurement system that preferably comprises an energy source and energy detector and that is in communication with a processing system. Under control of the processing system, the metrology system rotates the measurement system relative to a structure while the energy source directs a beam of incident energy toward the structure. The incident energy rebounds from the structure as scattered energy, at least a portion of which propagates toward the energy detector. Due to the relative rotation, the energy detector receives scattered energy from the structure at a plurality of angles, and the measurement system produces data signals therefrom, which data signals are provided to the processing system. The processing system analyzes the data signals to determine whether the structure has any defects, such as yield limiting deviations or other processing defects.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: March 15, 2005
    Inventor: Mehrdad Nikoonahad
  • Patent number: 6864971
    Abstract: A system and method for performing optical inspection of structures on the surface of a semiconductor wafer. The wafer surface is illuminated with a polychromatic light source. A multiple-charged couple-device (CCD) camera is positioned to capture light diffracted by the structures on the wafer surface at the first order of diffraction. The captured light is then separated into a plurality of component wavelengths which are directed onto the CCDs. A digital filter creates a plurality of digitized diffractive images of the wafer surface at different component wavelengths. The diffractive images may be integrated and analyzed to detect defects in the structures, or may be, analyzed individually. An image at a particular wavelength may be selected and analyzed by using the known grating pitch of the structures to calculate the wavelength.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: March 8, 2005
    Assignee: ISOA, Inc.
    Inventors: YouLing Lin, A. Kathleen Hennessey, Yongqiang Liu, Yonghang Fu, Masami Yamashita, Ichiro Shimomura
  • Patent number: 6864972
    Abstract: The present invention is directed analysis of a flip-chip integrated circuit die having SOI structure that improves the ability to image and analyze selected portions of circuitry in the die. According to an example embodiment of the present invention, a lens is formed in a back side of a flip-chip die and over the insulator portion of SOI structure in the die. Light is directed at the lens and the lens is used to focus the light to target circuitry in the die. A reflection from the circuitry is detected and used to analyze the die, such as by imaging the circuitry in the die and identifying defects therein. The lens formed in the die enhances the ability to focus light to selected circuitry in the die and improves the ability to analyze dies having SOI structure through the insulator.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: March 8, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey D. Birdsley, Michael R. Bruce, Brennan V. Davis, Rosalinda M Ring, Daniel L. Stone
  • Patent number: 6859285
    Abstract: An optical system for viewing hot objects is disclosed. The system projects electromagnetic radiation to the part surface and detects the reflected portion. Based on wavelength and/or modulation of the applied illumination, the surface characteristics of the part can be observed without interference from self-emitted radiation.
    Type: Grant
    Filed: August 2, 2000
    Date of Patent: February 22, 2005
    Assignee: OG Technologies, Inc.
    Inventor: Tzyy-Shuh Chang
  • Patent number: 6856409
    Abstract: A tool for evaluating a pin connector of a backplane preferably includes at least one connector having receptacles for receiving pins of a pin connector of a backplane. The receptacles are open at both ends so that ends of the pins are visible in the receptacles when the tool is seated on the pin connector.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: February 15, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Steven F. Mastoris, Akbar Monfared, Ian Robert Inglis
  • Patent number: 6850321
    Abstract: A method and apparatus for inspecting patterned substrates, such as photomasks, for unwanted particles and features occurring on the transmissive as well as pattern defects. A transmissive substrate is illuminated by a laser through an optical system comprised of a laser scanning system, individual transmitted and reflected light collection optics and detectors collect and generate signals representative of the light transmitted and reflected by the substrate. The defect identification of the substrate is performed using transmitted and reflected light signals from a baseline comparison between two specimens, or one specimen and a database representation, to form a calibration pixelated training set including a non-defective region. This calibration pixilated training set is compared to a transmitted-reflected plot map of the subject specimen to assess surface quality.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: February 1, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Zongqiang Yu
  • Patent number: 6850332
    Abstract: A method and an apparatus for measuring a step difference in a semiconductor device without making contact with the semiconductor device. A first beam is radiated onto a wafer so as to form a first focus on a first portion of the wafer, and a second beam is radiated onto the wafer so as to form a second focus on a second portion of the wafer. The step difference between the first portion and the second portion of the wafer is measured by calculating a vertical displacement distance of the wafer and a beam focusing device used to attain the first focus and the second focus.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: February 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chung-Sam Jun, Kye-Weon Kim, Yu-Sin Yang, Hyo-Hoo Kim
  • Patent number: 6847464
    Abstract: A method for estimating a property of a selected feature on a photolithographic mask includes providing a model of an image-acquisition system. The model includes information indicative of the characteristics of the image-acquisition system. The image-acquisition system is used to obtain a measured signal representative of the selected feature. On the basis of the measured signal, and the information provided by the model, a value of the property is estimated for the selected feature.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: January 25, 2005
    Assignee: Zygo Corporation
    Inventor: Nicholas G. Doe
  • Patent number: 6842258
    Abstract: The invention relates to a method and an arrangement for measuring the geometry of grooves in an elongated element, which grooves (2) extend as continuous grooves over the whole length of the elongated element (1), circling around the element, in which method the surface of the elongated element is scanned by of a camera (6). In order to achieve simple non-contact measurement, the elongated element (1) is arranged to travel at the vertex through an annular biconical minor or through a biconical bevelled mirror (3) comprising several plane mirrors, and through a plane mirror structure (4) arranged at an oblique angle relative to the direction of travel of the elongated element (1). An annular laser beam is directed to the plane mirror structure in such a way that the plane mirror structure (4) reflects the beam onto the surface of the elongated element (1) through the outer surface (3a) of the biconical mirror or bevelled cone mirror.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 11, 2005
    Assignee: Nextrom Holdings S.A.
    Inventors: Joni Leinvuo, Jouko Viitanen, Juha Korpinen, Jani Uusitalo
  • Patent number: 6825939
    Abstract: The present invention relates to a method and a measurement apparatus for detection of a specimen (1), a specimen (1) being illuminated with a light source (2) and imaged with the aid of an imaging optical system (3) onto a detector (4) preferably embodied as a CCD camera, and the specimen (1) being detected repeatedly with the detector (4). With the method and the measurement apparatus according to the present invention, fluctuations in the statistical analysis of detected signals or data can be minimized, the detected signals or data being subject to detection-related error sources. The method and the measurement apparatus according to the present invention are characterized in that the detection time of the detector (4) for the individual detections and/or the intensity of the light serving for specimen illumination are varied.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: November 30, 2004
    Assignee: Leica Microsystems Semiconductor GmbH
    Inventor: Klaus Rinn
  • Patent number: 6825938
    Abstract: A film thickness measuring method comprises projecting white light onto a wafer with a film to be measured and sensing a first reflected light intensity from the wafer, determining the first reflected light intensity in the form of a first light intensity profile with wavelength as the abscissa axis and light intensity as the ordinate axis, projecting the white light onto a reference sample having the same structure as that of the underlying layer below the film and sensing a second reflected light intensity from the sample, determining the second reflected light intensity in the form of a second light intensity profile similarly to the first light intensity profile, calculating a normalized light intensity profile by dividing the first light intensity profile by the second light intensity profile, and calculating the film thickness of the film to be measured from the normalized light intensity profile.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: November 30, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toru Mikami, Toshihiko Kikuchi
  • Patent number: 6822734
    Abstract: Method and apparatus for manufacture and inspection of flat articles, such as flat planel display substrates, that are manufactured in a contamination-sensitive environment. In particular, a manufacturing step such as applying coatings to the article is performed in a self-contained micro-environment, typically characterized by an airborn particulate concentration which is substantially lower than its surroundings. Automated inspection apparatus is provided inside the self-contained micro-environment of the fabrication equipment to inspect the article after completion of the fabrication step and before transfer of the article to other fabrication equipment. The inspection apparatus includes an illumination subsystem illuminating the article with various configurations of dark field and bright field illumination, a staring array sensor capturing images of the article under various illumination configurations and a computer that analyzes the images to automatically detect defects.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: November 23, 2004
    Assignee: Orbotech Ltd.
    Inventors: Doron Eidelman, David Fisch, Amir Noy, Avi Gross
  • Patent number: 6819417
    Abstract: A new method is provided for monitoring silicon quality, the new method is applied at the time of pre-salicidation of the silicon substrate. The optical refractive index of the pre-salicide substrate is monitored, this monitoring provides insight into the quality of the silicon substrate at that time of a substrate processing cycle.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: November 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yun-Hung Shen, Bih-Huey Lee
  • Patent number: 6819438
    Abstract: To determine the uniformity of an optical component, a light beam is directed to impinge on a surface of an optical component at each of multiple points. A characteristic of the light beam impinging on the optical component surface at each of the multiple points is modified so as to have multiple different values. Light from the impinging light beam that passes through the optical component at each of the multiple points, with the light beam characteristic at each of the multiple different values, is detected. The non-uniformity of the optical component is determined based on the detected passing light.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: November 16, 2004
    Assignee: GSI Lumonics Corporation
    Inventors: Richard A. Neily, William McCreath, David Parker
  • Patent number: 6816250
    Abstract: A method for measuring irregularities on the outer surface of an article employs an apparatus to obtain qualitative information regarding a first portion of the outer surface of the article, which can be used to generate a visual representation of a first portion of the outer surface of the article. Then, the article is moved by a predetermined amount, and the apparatus is again used to generate a visual representation of a second portion of the surface of the article. This process is repeated to obtain a plurality of visual representations that together span across a predetermined amount of the surface of the article. Then, the plurality of visual representations are processed to generate a single comprehensive enlarged visual representation of a relatively large surface area of the article, which is preferably shaded, colored, or otherwise highlighted to illustrate the irregularities that are formed therein.
    Type: Grant
    Filed: June 12, 2001
    Date of Patent: November 9, 2004
    Assignee: Dana Corporation
    Inventors: Mark Shuster, Dana M. Combs, Donald K. Cohen
  • Patent number: 6810177
    Abstract: In arrayed waveguide grating, optical communication system and optical communication system, when monitoring the main signal, it has heretofore been necessary to prepare demultiplexing parts in number corresponding to the number of channels to be monitored. Therefore, with an increase of the channel number the number of parts necessary for monitoring is increased to increase the size of the entire arrayed waveguide grating. In addition, the part number increase leads to the device cost Increase. Higher order diffraction beams obtained from the wavelength multiplexed diffracted beam obtained in an arrayed waveguide grating from a plurality of different wavelengths are used for monitoring. Thus, it is possible to reduce the number of parts necessary for the monitoring and thus provide an arrayed waveguide grating, an optical transmission system and an optical communication system, which can suppress the size and cost increases as much as possible.
    Type: Grant
    Filed: November 15, 2001
    Date of Patent: October 26, 2004
    Assignee: NEC Corporation
    Inventor: Tarou Kaneko