Patents by Inventor Chanro Park

Chanro Park has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240130142
    Abstract: A semiconductor structure comprises a first transistor, a second transistor vertically stacked over the first transistor, a source/drain region shared between the first transistor and the second transistor, and a resistive random-access memory device connected to the shared source/drain region.
    Type: Application
    Filed: October 17, 2022
    Publication date: April 18, 2024
    Inventors: Min Gyu Sung, Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park, Soon-Cheon Seo
  • Publication number: 20240121966
    Abstract: A memory device includes a substrate and vertically stacked ferroelectric capacitors formed on the substrate. A first ferroelectric capacitor has a different capacitive output than a second ferroelectric capacitor when a constant voltage is applied. First and second electrodes are in electrical contact with the vertically stacked ferroelectric capacitors. In some instances, a first capacitor plate in the first ferroelectric capacitor and a second capacitor plate in the second ferroelectric capacitor have different thicknesses. The different thicknesses allow the capacitive output for each capacitor to produce different electric field outputs. Accordingly, a combination of different output signals can be produced based on different threshold voltage levels for each capacitor contributing to the output.
    Type: Application
    Filed: October 6, 2022
    Publication date: April 11, 2024
    Inventors: Julien Frougier, Kangguo Cheng, Ruilong Xie, Chanro Park, Min Gyu Sung
  • Publication number: 20240120369
    Abstract: A semiconductor structure includes a capacitor structure at least partially disposed in a trench of an interlayer dielectric layer. The capacitor structure includes first and second electrode layers separated by a dielectric layer. A top surface of the first electrode layer is below a top surface of the second electrode layer and the dielectric layer. A spacer is disposed on the first electrode layer and a contact is disposed in the trench and connected to the second electrode layer and the spacer.
    Type: Application
    Filed: October 7, 2022
    Publication date: April 11, 2024
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, Chanro Park, Min Gyu Sung
  • Patent number: 11955526
    Abstract: An apparatus comprising a substrate and a thin gate oxide nanosheet device located on the substrate, having a first plurality of nanosheet layers, wherein each of the first plurality of nanosheet layers has a first thickness located at the center of the nanosheet. A thick gate oxide nanosheet device located on the substrate, having a second plurality of nanosheet layers, wherein each of the second plurality of nanosheet layers has a second thickness and wherein the first thickness is less than the second thickness.
    Type: Grant
    Filed: June 15, 2021
    Date of Patent: April 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Veeraraghavan S. Basker
  • Publication number: 20240113117
    Abstract: Embodiments of the present invention are directed to stacked field effect transistors (SFETs) having integrated vertical inverters. In a non-limiting embodiment, a first nanosheet is vertically stacked over a second nanosheet. A common gate is formed around a channel region of the first and second nanosheets. A top source or drain region is formed in direct contact with the first nanosheet and a bottom source or drain region is formed in direct contact with the second nanosheet. A first portion of the top source or drain region is shorted to a first portion of the bottom source or drain region to define a common source or drain region. A second portion of the top source or drain region is electrically coupled to a second portion of the bottom source or drain region in series through the first nanosheet, the common source or drain region, and the second nanosheet.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Min Gyu Sung, Julien Frougier, Kangguo Cheng, Ruilong Xie, Chanro Park
  • Patent number: 11942374
    Abstract: A semiconductor structure may include a first nanosheet field-effect transistor formed on a first portion of a substrate, a second nanosheet field-effect transistor formed on a second portion of the substrate, and one or more metal contacts. The first field-effect transistor formed on the first portion of a substrate may include a first source drain epitaxy. A top surface of the first source drain epitaxy may be above a top surface of a top-most nanosheet channel layer. The second nanosheet field-effect transistor formed on the second portion of the substrate may include a second source drain epitaxy and a third source drain epitaxy. The second source drain epitaxy may be below the third source drain epitaxy. The third source drain epitaxy may be u-shaped and may be connected to at least one nanosheet channel layer.
    Type: Grant
    Filed: June 17, 2021
    Date of Patent: March 26, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Julien Frougier, Chanro Park, Kangguo Cheng
  • Publication number: 20240099148
    Abstract: A semiconductor device is provided. The semiconductor device includes a memory including a bottom electrode, a magnetic tunnel junction (MTJ) stack on the bottom electrode, and an upper electrode on the MTJ stack. The semiconductor device also includes at least one dielectric layer formed around the memory, wherein a top metal layer contact hole is formed in the at least one dielectric layer, a dielectric liner layer formed in the top metal contact hole, and a top metal layer contact in the top metal layer contact hole.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Hsueh-Chung Chen, Koichi Motoyama, Chanro Park, Yann Mignot, Chih-Chao Yang
  • Publication number: 20240096891
    Abstract: A CMOS apparatus includes a semiconductor substrate that has a frontside and a backside opposite the frontside; a source/drain structure, which is disposed at the frontside of the substrate and has a backside that is adjacent to the substrate and a frontside that is opposite the backside of the source/drain structure; a backside interconnect layer, which is disposed at the backside of the substrate; a backside contact, which penetrates the substrate and electrically connects the source/drain structure to the backside interconnect layer; and a sigma-profiled dielectric structure that insulates first and second sides of the backside contact from the substrate.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Ruilong Xie, Julien Frougier, Min Gyu Sung, Chanro Park, Juntao Li
  • Publication number: 20240096886
    Abstract: A semiconductor includes a first GAA FET and second GAA FET. The second GAA FET includes a first gate dielectric and second gate dielectric within its gate structure. The first GAA FET includes just the first gate dielectric within its gate structure. The gate dielectric structure of the first GAA FET provides for a nominal or a lesser effective gate dielectric or gate dielectric resistance relative to an effective gate dielectric structure of the second GAA FET. The first GAA FET further includes a first gate conductor within its gate structure and the second GAA FET further includes the first gate conductor and a second gate conductor within its gate structure. The first gate conductor and the second gate conductor are separated by the second gate dielectric.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Kangguo Cheng, Ruilong Xie, Julien Frougier, Chanro Park, Min Gyu Sung
  • Publication number: 20240096946
    Abstract: A lower set of semiconductor channel layers, an upper set of semiconductor channel layers, a lower dielectric layer adjacent to the lower set of semiconductor channel layers, the lower dielectric layer includes a first polarity stress on the lower set of semiconductor channel layers, and an upper dielectric layer adjacent to the upper set of semiconductor channel layers, the lower dielectric layer includes a second polarity stress on the upper set of semiconductor channel layers with opposite polarity stress of the first polarity stress. Forming a lower stack of nanosheet layers and an upper stack of nanosheet layers, forming a lower dielectric layer adjacent to the lower stack of nanosheet layers, the lower dielectric layer includes a first polarity stress, and forming an upper dielectric layer adjacent to the upper stack of nanosheet layers, the upper dielectric layer includes a second polarity stress with opposite polarity.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Kangguo Cheng, Ruilong Xie, Julien Frougier, CHANRO PARK, Min Gyu Sung
  • Publication number: 20240096949
    Abstract: A nanosheet diode includes a bookend structure and a central structure. The bookend includes a first semiconductor that is doped as one of the anode and the cathode of the diode, and includes a left block, a right block, and a first stack of spaced-apart nanosheets that horizontally connect the left and right blocks. The central structure includes a second semiconductor that is doped as the other of the anode and the cathode of the diode, and includes a front block, a rear block, and a second stack of nanosheets that are interleaved crosswise into spaces between the first stack of spaced-apart nanosheets and that horizontally connect the front and rear blocks. The bookend structure directly contacts top, bottom, and end surfaces of the second stack of nanosheets of the central structure.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Min Gyu Sung
  • Publication number: 20240096983
    Abstract: A semiconductor structure having a backside contact structure with increased contact area includes a plurality of source/drain regions within a field effect transistor, each of the plurality of source/drain regions includes a top portion having an inverted V-shaped area. A backside power rail is electrically connected to at least one source/drain region through a backside metal contact. The backside metal contact wraps around a top portion of the at least one source/drain region. A tip of the top portion of the plurality of source/drain regions points towards the backside power rail with the top portion of the at least one source/drain region being in electric contact with the backside metal contact. A first epitaxial layer is in contact with a top portion of at least another source/drain region adjacent to the at least one source/drain region for electrically isolating the at least another source/drain region from the backside power rail.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Min Gyu Sung
  • Patent number: 11937521
    Abstract: A non-volatile memory device and a semiconductor structure including a vertical resistive memory cell and a fabrication method therefor. The semiconductor structure including a target metal contact; a horizontal dielectric layer; and at least one vertically oriented memory cell, each vertically oriented memory cell including a vertical memory resistive element having top and bottom electrical contacts, and including a vertically-oriented seam including conductive material and extending vertically from, and electrically connected to, the bottom electrical contact, the vertically-oriented seam and the bottom electrical contact entirely located in the horizontal dielectric layer; and one of the top and bottom electrical contacts being electrically connected to the target metal contact. The target electrical contact can be electrically connected to a memory cell selector device.
    Type: Grant
    Filed: July 13, 2021
    Date of Patent: March 19, 2024
    Assignee: International Business Machines Corporation
    Inventors: Chanro Park, Kangguo Cheng, Ruilong Xie, Choonghyun Lee
  • Patent number: 11935930
    Abstract: Embodiments herein describe FETs with channels that form wrap-around contacts (a female portion of a female/male connection) with metal contacts (a male portion of the female/male connection) in order to connect the channels to the drain and source regions. In one embodiment, a first conductive contact is formed underneath a dummy channel. In addition an encapsulation material wraps around the first conductive contact. The dummy channel and the encapsulation material can then be removed and replaced by the material of the channel which, as a result, include a female portion that wraps around the first conductive contact.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: March 19, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Chanro Park, Andrew Gaul
  • Publication number: 20240088140
    Abstract: A semiconductor device including a substrate having a dense array region and an isolation region. The semiconductor device includes plurality of first fin structures of stacked nanosheets is present in the dense array region separated by a single pitch, wherein each fin structure in the first plurality of fin structures has a same first nanosheet height as measured from an upper surface of the substrate in the dense array region. The semiconductor device further includes at least one second fin structure of stacked nanosheets is present in the isolation region, wherein a number of second fin structure in the isolation region is less than a number of first fin structures in the dense array region, the at least one fin structure having a second nano sheet height that is measured from the upper surface of the substrate in the isolation region that is the same as the first nanosheet height.
    Type: Application
    Filed: September 13, 2022
    Publication date: March 14, 2024
    Inventors: Min Gyu Sung, Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park
  • Publication number: 20240079446
    Abstract: Embodiments of the invention include a transistor comprising a gate region and an epitaxial region, the transistor comprising a frontside opposite a backside.
    Type: Application
    Filed: September 2, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Shogo Mochizuki, Daniel Charles Edelstein, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Chanro Park, Christian Lavoie, Cornelius Brown Peethala, SON NGUYEN
  • Publication number: 20240079266
    Abstract: Semiconductor devices having air gap spacers that are formed as part of BEOL or MOL layers of the semiconductor devices are provided, as well as methods for fabricating such air gap spacers. For example, a method comprises forming a first metallic structure and a second metallic structure on a substrate, wherein the first and second metallic structures are disposed adjacent to each other with insulating material disposed between the first and second metallic structures. The insulating material is etched to form a space between the first and second metallic structures. A layer of dielectric material is deposited over the first and second metallic structures using a pinch-off deposition process to form an air gap in the space between the first and second metallic structures, wherein a portion of the air gap extends above an upper surface of at least one of the first metallic structure and the second metallic structure.
    Type: Application
    Filed: April 7, 2023
    Publication date: March 7, 2024
    Inventors: Kangguo Cheng, Thomas J. Haigh, Juntao Li, Eric G. Liniger, Sanjay C. Mehta, Son V. Nguyen, Chanro Park, Tenko Yamashita
  • Patent number: 11923246
    Abstract: A method of via formation including forming a sacrificial mask over a conductive layer, forming a plurality of pillars in the sacrificial mask and the conductive layer, wherein each pillar of the plurality of pillars includes a sacrificial cap and a first conductive via, depositing a spacer between the plurality of pillars, masking at least one of the sacrificial caps, removing at least one of the sacrificial caps to create openings, forming second conductive vias in the openings, and depositing a dielectric coplanar to a top surface of the second conductive vias.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: March 5, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Koichi Motoyama, Dominik Metzler, Ekmini Anuja De Silva, Chanro Park, Hsueh-Chung Chen
  • Patent number: 11923363
    Abstract: Illustrative embodiments provide techniques for fabricating semiconductor structures having bottom isolation and enhanced carrier mobility for both nFET and pFET devices. For example, in one illustrative embodiment, a semiconductor structure includes a semiconductor substrate, a first dielectric layer disposed on the semiconductor substrate, a bottom source/drain region disposed on the first dielectric layer and isolated from the semiconductor substrate by the first dielectric layer, a second dielectric layer disposed on the bottom source/drain region and a top source/drain region disposed on the second dielectric layer and isolated from the bottom source/drain region by the second dielectric layer. The bottom source/drain region comprises a compressive pFET epitaxy and the top source/drain region comprises a tensile nFET epitaxy.
    Type: Grant
    Filed: September 20, 2021
    Date of Patent: March 5, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Chanro Park, Juntao Li
  • Publication number: 20240072133
    Abstract: Backside and frontside contact structures wrapping around source/drain regions provide increased contact areas for electrical connections and allow increased silicide areas. Sidewall metallization of epitaxially grown source/drain regions provides source/drain sidewall contacts that enable wrap-around contact formation on both the front side and the back side of a semiconductor device layer. Front side and back side contact metallization over the source/drain sidewall contacts allows wrap-around contact structures on both sides of the device layer.
    Type: Application
    Filed: August 26, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Chanro Park, Min Gyu Sung, Kangguo Cheng, Julien Frougier