Patents by Inventor Chanro Park

Chanro Park has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240071836
    Abstract: A semiconductor structure is presented including a first dielectric isolation pillar disposed between a pair of p-type field effect transistors (pFETs), a second dielectric isolation pillar disposed between a pair of n-type FETs (nFETs), a first source/drain (S/D) epi region having a first contact electrically connected to a backside power delivery network (BSPDN), the first contact being disposed on one side of the first dielectric isolation pillar, and a second S/D epi region having a second contact electrically connected to back-end-of-line (BEOL) components, the second contact being disposed on the other side of the first dielectric isolation pillar.
    Type: Application
    Filed: August 30, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Chanro Park, Kangguo Cheng, Julien Frougier, Lawrence A. Clevenger
  • Publication number: 20240071904
    Abstract: A microelectronics structure including a skip level via extending from an upper level metal line in an upper level of the at least two interlevel dielectric levels through a first an interlevel dielectric level that is on the substrate level without contacting an interlevel metal line. The skip level via extends into electrical contact with a first element of electrical contact features in a lower level of the at least two interlevel dielectric levels. The skip level via includes a spacer that is present on sidewalls of the skip level via. The structure also includes a single level via, in which the dielectric material of the spacer of the skip level via is not present on the sidewalls of the single level via.
    Type: Application
    Filed: August 29, 2022
    Publication date: February 29, 2024
    Inventors: Chanro Park, Koichi Motoyama, Yann Mignot, Hsueh-Chung Chen
  • Publication number: 20240072134
    Abstract: Backside self-aligned contact designs using a replacement contact process with unique placeholder profile are provided. In one aspect, a semiconductor device includes: a field-effect transistor(s) on a frontside of the device; backside power rails on a backside of the device; a backside source/drain region contact connecting a given one of the backside power rails to a source/drain region of the field-effect transistor(s), and a dielectric placeholder(s) between the given backside power rail and another source/drain region of the field-effect transistor(s), where a first end of the dielectric placeholder(s) having a width W1 directly contacts the given backside power rail, a second end of the dielectric placeholder(s) having a width W2 directly contacts the other source/drain region, where W1>W2. The field-effect transistor(s) can include a stack of active layers with bottom dielectric isolation, and a gate-all-around configuration.
    Type: Application
    Filed: August 30, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Min Gyu Sung
  • Patent number: 11916013
    Abstract: Interconnect structures including super vias are formed during back-end-of-line processing using sacrificial placeholders to protect the bottom portions of the super vias while upper portions of the super vias are formed. The sacrificial placeholders are removed and replaced by metal conductors that fill the bottom and upper portions of the super vias.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: February 27, 2024
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Eric Miller, Chanro Park
  • Patent number: 11916073
    Abstract: A complementary field effect transistor (CFET) structure including a first transistor disposed above a second transistor, a first source/drain region of the first transistor disposed above a second source/drain region of the second transistor, wherein the first source/drain region comprises a smaller cross-section than the second source/drain region, a first dielectric material disposed in contact with a bottom surface and vertical surfaces of the first source/drain region and further in contact with a vertical surface and top surface of the second source/drain region, and a second dielectric material disposed as an interlayer dielectric material encapsulating the first and second transistors.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: February 27, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park
  • Publication number: 20240064997
    Abstract: Embodiments of present invention provide a ferroelectric random-access memory (FeRAM) cell. The FeRAM cell includes a vertical channel between a bottom source/drain region and a top source/drain region; a gate oxide surrounding the vertical channel; and a ferroelectric layer surrounding the gate oxide, wherein the ferroelectric layer has two or more sections of different horizontal thicknesses between the bottom source/drain region and the top source/drain region. A method of manufacturing the FeRAM cell is also provided.
    Type: Application
    Filed: August 16, 2022
    Publication date: February 22, 2024
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie, CHANRO PARK, Min Gyu Sung
  • Publication number: 20240063217
    Abstract: A semiconductor structure comprises one or more transistor devices on a first side of the semiconductor structure, one or more transistor devices on a second side of the semiconductor structure, the second side being opposite the first side, and a dielectric isolation layer separating the one or more transistor devices on the first side of the semiconductor structure from the one or more transistor devices on the second side of the semiconductor structure. The one or more transistor devices on the second side of the semiconductor structure comprise channel layers on one side of the dielectric isolation layer and source/drain regions that are independent of source/drain regions of the one or more transistor devices on the first side of the semiconductor structure.
    Type: Application
    Filed: August 17, 2022
    Publication date: February 22, 2024
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, Chanro Park, Min Gyu Sung
  • Patent number: 11908732
    Abstract: A method of forming a pitch pattern is provided. The method includes forming two adjacent mandrels separated by a first distance, D1, on a substrate, and forming a first set of alternating sidewall spacers between the two adjacent mandrels. The method further includes removing the two adjacent mandrels, and forming a second set of alternating sidewall spacers and a third set of alternating sidewall spacers on opposite sides of the first set of sidewall spacers.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: February 20, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hsueh-Chung Chen, Chanro Park, Koichi Motoyama
  • Publication number: 20240049478
    Abstract: A non-volatile memory having a 3D cross-point architecture and twice the cell density is provided in which vertically stacked word lines run in plane (i.e., parallel) to the substrate and bit lines runs perpendicular to the vertically stacked word lines. The vertically stacked word lines are located in a patterned dielectric material stack that includes alternating first dielectric material layers and recessed second dielectric material layers. The first dielectric material layers vertically separate each word line within each vertical stack of word lines and the recessed second dielectric material layers are located laterally adjacent to the word lines. A dielectric switching material layer is located between each word line-bit line combination. Some of the bit lines are located in the dielectric material stack and some of the bit lines are located in an interlayer dielectric material layer.
    Type: Application
    Filed: August 2, 2022
    Publication date: February 8, 2024
    Inventors: Chanro Park, Julien Frougier, Ruilong Xie, Kangguo Cheng
  • Patent number: 11895934
    Abstract: A phase change memory (PCM) structure including a bottom electrode, a first dielectric spacer disposed above and in contact with the bottom electrode, the first dielectric spacer comprising a vertical seam, a PCM layer disposed above the first dielectric spacer, and a heater element disposed in the seam and in contact with the bottom electrode.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Chanro Park, Julien Frougier, Ruilong Xie
  • Publication number: 20240038547
    Abstract: A substrative patterning process is provided that forms an interconnect structure including a connector tab located between two adjacent electrically conductive line structures. The connector tab and the two adjacent electrically conductive line structures are of unitary construction and are located in a same metallization level.
    Type: Application
    Filed: July 26, 2022
    Publication date: February 1, 2024
    Inventors: Chanro Park, Koichi Motoyama, Hsueh-Chung Chen, Yann Mignot
  • Publication number: 20240040940
    Abstract: Embodiments of present invention provide a resistive random-access memory (RRAM) cell. The RRAM cell includes a bottom electrode; a metal oxide layer, the metal oxide layer having a central portion that is in direct contact with the bottom electrode, a peripheral portion that is nonplanar with the central portion, and a vertical portion between the central portion and the peripheral portion; and a top electrode directly above the metal oxide layer. A method of manufacturing the RRAM cell is also provided.
    Type: Application
    Filed: July 28, 2022
    Publication date: February 1, 2024
    Inventors: Soon-Cheon Seo, Min Gyu Sung, Takashi Ando, CHANRO PARK, Mary Claire Micaller Silvestre, Xuefeng Liu
  • Publication number: 20240038867
    Abstract: A microelectronic structure comprises a first stacked device structure comprising a first upper device and a first lower device, a second stacked device structure comprising a second upper device and a second lower device, and an isolation pillar structure located between the first and second stacked device structures. The isolation pillar structure has an upper section contacting the first and second upper devices and a lower section contacting the first and second lower devices. The upper section of the isolation pillar structure has a first width and the lower section of the isolation pillar structure has a second width different than the first width.
    Type: Application
    Filed: July 29, 2022
    Publication date: February 1, 2024
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, Chanro Park, Min Gyu Sung
  • Patent number: 11882772
    Abstract: A memory cell and formation thereof. The memory cell including: a first dielectric material having a via; a dielectric spacer on a sidewall of the via, and a second dielectric material pinching off the via and forming a seam.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: January 23, 2024
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Chanro Park, Julien Frougier, Ruilong Xie
  • Patent number: 11881431
    Abstract: A capping layer is on top of a substrate. A first low-k dielectric layer is on top of the capping layer. One or more trenches are within the first low-k dielectric layer. Each of the one or more trenches have a same depth. Each trench of the one or more trenches include a barrier layer on top of the first low-k dielectric layer, a liner layer and a metal layer on top of the liner layer.
    Type: Grant
    Filed: November 22, 2021
    Date of Patent: January 23, 2024
    Assignee: International Business Machines Corporation
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Chih-Chao Yang
  • Publication number: 20240021733
    Abstract: A stacked semiconductor device includes stacked transistors. A lower transistor may be a p-type FinFET and an upper transistor vertically above the lower transistor may be a n-type nanostructure FET. The lower transistor may include a fin channel with a (110) orientated crystalline side surface. End surfaces of the fin channel contact a respective lower source/drain (S/D) region. The (110) orientated crystalline side surface may contact a lower gate structure. The upper transistor includes a diamond-shaped nano channel with a (111) orientated crystalline perimeter surface. End surfaces of the diamond-shaped nano channel may contact a respective upper S/D region. An upper gate structure may wrap around and contact the (111) orientated crystalline perimeter surface. An electrical isolation structure may separate the upper transistor from the lower transistor.
    Type: Application
    Filed: July 18, 2022
    Publication date: January 18, 2024
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park
  • Patent number: 11876114
    Abstract: A semiconductor device includes a gate structure that is formed upon and around a channel fin. The device further includes a source or drain (S/D) region connected to the fin. A spacer liner is located upon a sidewall of the S/D region facing the gate structure. An air-gap spacer is located between the gate structure and the spacer liner. A spacer ear is located above the air-gap spacer between the gate structure and the spacer liner. The spacer ear may be formed by initially forming an inner spacer upon a sidewall of the gate structure and forming an outer spacer upon the inner spacer. The outer spacer may be recessed below the inner spacer and the spacer ear may be formed upon the recessed outer spacer. Subsequently, the inner spacer and outer spacer may be removed to form the air-gap spacer while retaining the spacer ear.
    Type: Grant
    Filed: September 7, 2021
    Date of Patent: January 16, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park
  • Publication number: 20240014264
    Abstract: Embodiments of present invention provide a semiconductor structure. The semiconductor structure includes a first transistor, a second transistor, and a third transistor separated by their respective source/drain regions; and a diffusion break between the second transistor and the third transistor, wherein a first distance between a center of a gate of the first transistor and a center of a gate of the second transistor is more than half of a second distance between the center of the gate of the second transistor and a center of a gate of the third transistor. A method of manufacturing the semiconductor structure is also provided.
    Type: Application
    Filed: July 8, 2022
    Publication date: January 11, 2024
    Inventors: Ruilong Xie, CHANRO PARK, Kangguo Cheng, Julien Frougier, Min Gyu Sung
  • Publication number: 20240006502
    Abstract: A semiconductor structure that includes a channel region comprising vertically stacked channels of at least two III-V semiconductor material layers having a two dimensional electron gas regions at an interface of the at least two III-V semiconductor material layers; a gate all around (GAA) geometry gate structure present on the channel region; and source and drain regions on opposing sides of the channel region.
    Type: Application
    Filed: July 1, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Kangguo Cheng, Ruilong Xie, Juntao Li, Chanro Park, Oleg Gluschenkov
  • Publication number: 20230420500
    Abstract: A semiconductor structure is presented including source/drain (S/D) epitaxial growth formed over a bottom dielectric isolation region, at least one first semiconductor layer disposed within the S/D epitaxial growth in a S/D region and at least one second semiconductor layer disposed partially within a gate region. The at least one second semiconductor layer extends from the gate region into a spacer region to enable a connection to the S/D epitaxial growth. The semiconductor structure further includes a first region with adjacent devices exhibiting a first Contacted gate Poly Pitch (CPP) defining a first gate-to-gate space and a second region with adjacent devices exhibiting a second CPP defining a second gate-to-gate space, where adjacent devices exhibiting the first CPP have a smaller gate-to-gate canyon than the adjacent devices exhibiting the second CPP such that the second gate-to-gate space is greater than the first gate-to-gate space.
    Type: Application
    Filed: June 27, 2022
    Publication date: December 28, 2023
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, CHANRO PARK, Oleg Gluschenkov